Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 133950

Article: 133950
Subject: Re: ANNOUNCE: TimingAnalyzer version beta 0.87
From: Eric Jacobsen <eric.jacobsen@ieee.org>
Date: Sun, 20 Jul 2008 07:54:30 -0700
Links: << >>  << T >>  << A >>
On Sun, 20 Jul 2008 06:14:35 -0700 (PDT), rickman <gnuarm@gmail.com>
wrote:


>I'm not trying to bust anyone's balls.  But this guy has been
>cluttering up a number of newsgroups, Yahoo groups and who knows where
>else with his frequent postings.  I don't object to his making it
>known that there is a new product on the market.  I get tired of
>seeing his, sometimes bi-weekly, announcements that a new version is
>out there.  If anyone is looking for a timing analyzer then he will
>already be easy to find.  If anyone wants to know the current status
>of his program he has a web site.
>
>I just think that a lot of people, here and elsewhere, don't so much
>"think" about a topic like this, they justify what they "feel".  How
>would people "feel" if every vendor came here to advertise, announce
>new products, new features or even just to solicit comments and
>advice?  I remember awhile back there were some job postings and it
>was rather contentious whether that was considered acceptable.  For
>the most part people had no logical justification for wanting to allow
>that sort of post.  They just tried to rationalize their feelings, "if
>you were out of work, you would welcome those posts" sort of
>thing.
>
>Well my feelings are that I get tired of seeing advertising in this
>group and elsewhere.  I moderate multiple Yahoo groups and have made a
>real effort to exclude the spam.  Don't you think these groups would
>be better served with less spam?
>
>Rick

Spam is going to part of the internet for the foreseeable future, and
unmoderated newsgroups are always going to be subject to unwanted
intrusions.    I suggest you learn to use killfiles and ignore
settings rather than harp on anybody who comes along that might have a
product relevant to the group, because that's not going to stop.
People can make their own decisions and clearly, as in this case, some
people do like getting the information.

For this particular case I think he's been exceptional in that he's
looking for (and getting, and responding to) feedback to make his
product better.   Would that all vendors in relevant fields would do
this.

Eric Jacobsen
Minister of Algorithms
Abineau Communications
http://www.ericjacobsen.org

Blog: http://www.dsprelated.com/blogs-1/hf/Eric_Jacobsen.php

Article: 133951
Subject: Re: Change clock domain for FIFO ...
From: Lorenz Kolb <lorenz.kolb@uni-ulm.de>
Date: Sun, 20 Jul 2008 16:57:48 +0200
Links: << >>  << T >>  << A >>
Kappa wrote:
> Hi,
> 
> I have to introduce a DVB Trasnport Stream (Clk + Data + Sync) from a tuner 
> in a fpga. Fpga has a 27 MHz clock with which takes data from the tuner and 
> serializes them to ASI. The serialization works well simulating a Null 
> Packet as input.
> 
> Now I have to take data from the tuner with unknown clock. I had thought of 
> a async FIFO. The clock of the tuner to the left of fifo, to the right my 
> clock. Checking the level of fifo and inserting Null packet if necessary.
> 

That sounds quite reasonable as this is a typical usage of a fifo 
(switching between clock domains).

> But how can clocking the fifo directly by the tuner without problems ?
> 
 > Do you have an example of VHDL code that I could use ?

Well depending on the device you use there might be some small hacks to 
think of (e.g. Virtex-4 BRAMs in FIFO mode need at least 3 cycles of the 
slowest clock to do a reset). Nevertheless both of the two major FPGA 
vendors offer quite stable implementations for FIFOs. So what exactly 
are You looking for?

Anyway what do You mean with "unknown clock"? Does the frequency vary 
while in use or is the clock stable for a type of tuner and another 
tuner has a different frequency? What about the jitter of the clock?

Regards,

Lorenz

Article: 133952
Subject: Re: ANNOUNCE: TimingAnalyzer version beta 0.87
From: rickman <gnuarm@gmail.com>
Date: Sun, 20 Jul 2008 08:30:51 -0700 (PDT)
Links: << >>  << T >>  << A >>
On Jul 20, 10:54 am, Eric Jacobsen <eric.jacob...@ieee.org> wrote:
> On Sun, 20 Jul 2008 06:14:35 -0700 (PDT), rickman <gnu...@gmail.com>
> wrote:
>
>
>
> >I'm not trying to bust anyone's balls.  But this guy has been
> >cluttering up a number of newsgroups, Yahoo groups and who knows where
> >else with his frequent postings.  I don't object to his making it
> >known that there is a new product on the market.  I get tired of
> >seeing his, sometimes bi-weekly, announcements that a new version is
> >out there.  If anyone is looking for a timing analyzer then he will
> >already be easy to find.  If anyone wants to know the current status
> >of his program he has a web site.
>
> >I just think that a lot of people, here and elsewhere, don't so much
> >"think" about a topic like this, they justify what they "feel".  How
> >would people "feel" if every vendor came here to advertise, announce
> >new products, new features or even just to solicit comments and
> >advice?  I remember awhile back there were some job postings and it
> >was rather contentious whether that was considered acceptable.  For
> >the most part people had no logical justification for wanting to allow
> >that sort of post.  They just tried to rationalize their feelings, "if
> >you were out of work, you would welcome those posts" sort of
> >thing.
>
> >Well my feelings are that I get tired of seeing advertising in this
> >group and elsewhere.  I moderate multiple Yahoo groups and have made a
> >real effort to exclude the spam.  Don't you think these groups would
> >be better served with less spam?
>
> >Rick
>
> Spam is going to part of the internet for the foreseeable future, and
> unmoderated newsgroups are always going to be subject to unwanted
> intrusions.    I suggest you learn to use killfiles and ignore
> settings rather than harp on anybody who comes along that might have a
> product relevant to the group, because that's not going to stop.
> People can make their own decisions and clearly, as in this case, some
> people do like getting the information.
>
> For this particular case I think he's been exceptional in that he's
> looking for (and getting, and responding to) feedback to make his
> product better.   Would that all vendors in relevant fields would do
> this.

If I understand your post correctly, you are making two points.  The
first is that since it is impossible to stop all spam, that we should
not try to stop *any* spam posts, is that correct?  That is, when
otherwise reputable companies use spam to promote their products, we
should just shrug our shoulders and consider this part of the Internet
landscape?

The second point I think you are making is that you have a personal
feeling that this particular spammer should be allowed because you
don't find his posts offensive.  Is that correct?

So just to be clear on this, you don't have a problem with all
embedded related vendors coming to these newsgroups and posting
advertising, marketing, update announcements or asking for "opinions"
on their latest products.  Is that right?

Rick

Article: 133953
Subject: Re: Change clock domain for FIFO ...
From: nico@puntnl.niks (Nico Coesel)
Date: Sun, 20 Jul 2008 16:02:46 GMT
Links: << >>  << T >>  << A >>
"Kappa" <78kappa78(at)virgilio(dot)it> wrote:

>Hi,
>
>I have to introduce a DVB Trasnport Stream (Clk + Data + Sync) from a tuner 
>in a fpga. Fpga has a 27 MHz clock with which takes data from the tuner and 
>serializes them to ASI. The serialization works well simulating a Null 
>Packet as input.
>
>Now I have to take data from the tuner with unknown clock. I had thought of 
>a async FIFO. The clock of the tuner to the left of fifo, to the right my 
>clock. Checking the level of fifo and inserting Null packet if necessary.
>
>But how can clocking the fifo directly by the tuner without problems ?

This is sort of a text book example. The easiest way though is to
clock the FIFO at the highest frequency and create clock domain
transfer logic at the interface with the lowest frequency. This way
you keep your FIFO at one clock frequency and put the tricky part in a
relative simple piece of logic.


-- 
Programmeren in Almere?
E-mail naar nico@nctdevpuntnl (punt=.)

Article: 133954
Subject: Re: Change clock domain for FIFO ...
From: secureasm@gmail.com
Date: Sun, 20 Jul 2008 09:34:13 -0700 (PDT)
Links: << >>  << T >>  << A >>
Thanks Lorenz,

> Well depending on the device you use there might be some small hacks to
> think of (e.g. Virtex-4 BRAMs in FIFO mode need at least 3 cycles of the
> slowest clock to do a reset). Nevertheless both of the two major FPGA
> vendors offer quite stable implementations for FIFOs. So what exactly
> are You looking for?

I use a Virtex-4 SX35 and Spartan-3E 500 ...

> Anyway what do You mean with "unknown clock"? Does the frequency vary
> while in use or is the clock stable for a type of tuner and another
> tuner has a different frequency? What about the jitter of the clock?

Exactly. The clock input can vary from a minimum of 125 Hz to a
maximum of 11250000 Hz. Some problem could be the first to power up.

Any idea ?

Regards,

Kappa





Article: 133955
Subject: Re: Change clock domain for FIFO ...
From: secureasm@gmail.com
Date: Sun, 20 Jul 2008 09:36:41 -0700 (PDT)
Links: << >>  << T >>  << A >>
Hi,

> This is sort of a text book example. The easiest way though is to
> clock the FIFO at the highest frequency and create clock domain
> transfer logic at the interface with the lowest frequency. This way
> you keep your FIFO at one clock frequency and put the tricky part in a
> relative simple piece of logic.

I am okay. Sample with my clock against the rising clock of tuner and
this enabled the writing of FIFO ?

Some code ?

Regards,

Kappa.

Article: 133956
Subject: Re: Change clock domain for FIFO ...
From: "KJ" <kkjennings@sbcglobal.net>
Date: Sun, 20 Jul 2008 12:48:50 -0400
Links: << >>  << T >>  << A >>

<secureasm@gmail.com> wrote in message 
news:2a44c6bc-5647-4ba4-95b3-f3b9cd176a2d@34g2000hsh.googlegroups.com...
> Hi,
>
>
> I am okay. Sample with my clock against the rising clock of tuner and
> this enabled the writing of FIFO ?
>
> Some code ?
>

1. Search the web site of whatever vendor you plan to implement this in for 
a dual clock fifo.
2. Instantiate that component

Alternatively, google for
- lpm_fifo_dc (dual clock fifo) and you should be able to run across the 
source code.  Altera's code is in a file called 220model.vhd which you get 
with Quartus.
- Opencores.org probably has something
- 'dual clock fifo' will probably have some hits as well.

It's not hard, do some research.

KJ 



Article: 133957
Subject: Re: Change clock domain for FIFO ...
From: secureasm@gmail.com
Date: Sun, 20 Jul 2008 09:57:00 -0700 (PDT)
Links: << >>  << T >>  << A >>
Thanks KJ,

> 1. Search the web site of whatever vendor you plan to implement this in for
> a dual clock fifo.
> 2. Instantiate that component
>
> Alternatively, google for
> - lpm_fifo_dc (dual clock fifo) and you should be able to run across the
> source code.  Altera's code is in a file called 220model.vhd which you get
> with Quartus.
> - Opencores.org probably has something
> - 'dual clock fifo' will probably have some hits as well.

I do not search 'dual clock fifo', thanks. But i search a interface
code for place a external clock + data to 'dual clock fifo' for first
domain clock. The dual clock fifo is ready. I still want to link the
'Tuner'.

Regards,

Kappa.


Article: 133958
Subject: Re: ANNOUNCE: TimingAnalyzer version beta 0.87
From: Jerry Avins <jya@ieee.org>
Date: Sun, 20 Jul 2008 13:02:38 -0400
Links: << >>  << T >>  << A >>
John Devereux wrote:

   ...

> But you are not allowed to look at it
> 
> <http://www.timing-diagrams.com/license.html>
> 
> "You may not modify, reverse engineer, decompile, or disassemble the
> TimingAnalyzer program."
> 
>> and there is no long-term commercial interest.  This puts it in the
>> same classification as Linux.  He is not selling - he is offering.
> 
> ..."You must buy a license to use TimingAnalyzer Standard
> Edition(SE)"...
> 
> It appears to be a commercial program, free for personal,
> non-commercial use only. So I agree with rickman.

Years ago, I bought ($10) a very nice interactive star map program 
called SkyGlobe for DOS. A few years later, I got a free upgrade for 
Windows. I still use it. This stuff is shareware, and I don't think of 
it as commercial. I put TimingAnalyzer in the same category. I hope it 
hets to be as polished.

Jerry
-- 
Engineering is the art of making what you want from things you can get.
ŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻ

Article: 133959
Subject: Re: ANNOUNCE: TimingAnalyzer version beta 0.87
From: CBFalconer <cbfalconer@yahoo.com>
Date: Sun, 20 Jul 2008 13:15:26 -0400
Links: << >>  << T >>  << A >>
timinganalyzer wrote:
> 
... snip ...
> 
> I'm sorry to be the cause of this debate.   Originally,  my
> intentions were to find some beta testers to help me test the
> program and at the same time get some visibility to potential
> customers.  Its hard to find experience engineers to help.  I
> have been getting feedback from users of each beta  version
> which has been helpful.

Don't get too excited about it.  There is always at least one
person who considers almost every announcement commercial spam. 
The real spammers know what they are.

-- 
 [mail]: Chuck F (cbfalconer at maineline dot net) 
 [page]: <http://cbfalconer.home.att.net>
            Try the download section.


Article: 133960
Subject: Re: ANNOUNCE: TimingAnalyzer version beta 0.87
From: Jerry Avins <jya@ieee.org>
Date: Sun, 20 Jul 2008 13:21:48 -0400
Links: << >>  << T >>  << A >>
Eric Jacobsen wrote:

   ...

> For this particular case I think he's been exceptional in that he's
> looking for (and getting, and responding to) feedback to make his
> product better.   Would that all vendors in relevant fields would do
> this.

Thanks for putting that more clearly than I could.

Jerry
-- 
Engineering is the art of making what you want from things you can get.
ŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻ

Article: 133961
Subject: Re: ANNOUNCE: TimingAnalyzer version beta 0.87
From: Jerry Avins <jya@ieee.org>
Date: Sun, 20 Jul 2008 13:29:23 -0400
Links: << >>  << T >>  << A >>
rickman wrote:

   ...

> So just to be clear on this, you don't have a problem with all
> embedded related vendors coming to these newsgroups and posting
> advertising, marketing, update announcements or asking for "opinions"
> on their latest products.  Is that right?

Asking opinions can be a marketing ploy. Political and public-interest 
organizations often include a questionnaire with low-key appeals for a 
donation. Dan is different. He has actually acted on the suggestions he 
got, to the point that he has produced new (and improved) versions that 
incorporate most of them. In fact, those new versions account for the 
profusion of announcements. Yhe longer this thread grows, the more 
inclined I become to cheer him on.

Jerry
-- 
Engineering is the art of making what you want from things you can get.
ŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻ

Article: 133962
Subject: Re: Change clock domain for FIFO ...
From: Peter Alfke <alfke@sbcglobal.net>
Date: Sun, 20 Jul 2008 10:33:46 -0700 (PDT)
Links: << >>  << T >>  << A >>
A dual-clock (also called asynchronous FIFO) is complex inside because
of the flag control, but is very easy to use:
The input port and the output port are completely separate. You put in
data at any rate, and you pull it out at any other rare you desire.
There is a Full flag that tells you tonstop writing into it, and there
is an Empty flag that tells you to stop reding from it. End of story.
It acts like the waiting room at the doctor's office...
Peter Alfke

Article: 133963
Subject: Re: ANNOUNCE: TimingAnalyzer version beta 0.87
From: kennheinrich@sympatico.ca
Date: Sun, 20 Jul 2008 11:43:28 -0700 (PDT)
Links: << >>  << T >>  << A >>
On Jul 20, 1:29 pm, Jerry Avins <j...@ieee.org> wrote:
> rickman wrote:
>
>    ...
>
> > So just to be clear on this, you don't have a problem with all
> > embedded related vendors coming to these newsgroups and posting
> > advertising, marketing, update announcements or asking for "opinions"
> > on their latest products.  Is that right?
>
> Asking opinions can be a marketing ploy. Political and public-interest
> organizations often include a questionnaire with low-key appeals for a
> donation. Dan is different. He has actually acted on the suggestions he
> got, to the point that he has produced new (and improved) versions that
> incorporate most of them. In fact, those new versions account for the
> profusion of announcements. Yhe longer this thread grows, the more
> inclined I become to cheer him on.
>
> Jerry
> --
> Engineering is the art of making what you want from things you can get.
> =AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=
=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=
=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF=AF

Anyone who (1) develops a tool that is useful, at least in principal,
to the majority of people doing digital design, (2) listens to and
applies feedback derived from these postings, and (3) offers a free
version (limited or not), would seem to be entirely justified in
posting to at least those few groups I've seen these posts in
(comp.lang.vhdl in particular).

In fact, I find a number of arguably more topical, yet grossly stupid,
posts to be much more annoying than these. I have in mind those posts
that (to paraphrase) say "I need a VHDL module for an advanced
multiresolution wavelet homotopy-modulated Fourier domain analyser. I
forget how to use Google.  I can also barely understand how to
multiply single digit integers. Please send me the source code and
hold my hand as I make an ass of myself."

Just my two cents.

 - Kenn

Article: 133964
Subject: Re: ANNOUNCE: TimingAnalyzer version beta 0.87
From: Richard Owlett <rowlett@atlascomm.net>
Date: Sun, 20 Jul 2008 15:35:09 -0500
Links: << >>  << T >>  << A >>
timinganalyzer wrote:
> [snipping everybody's verboseness]
> 
> But,  I will follow any newsgroup guidelines there are and will not
> clutter them will frequent announcements anymore if that is considered
> spam or not good practice.
> 
> Regards,
> Dan

My only comment is your "announcements" were excessively verbose.
The evidence that they weren't considered too far OT was that you got 
responses to _content_ of your posts.

Article: 133965
Subject: Re: ANNOUNCE: TimingAnalyzer version beta 0.87
From: Eric Jacobsen <eric.jacobsen@ieee.org>
Date: Sun, 20 Jul 2008 13:54:09 -0700
Links: << >>  << T >>  << A >>
On Sun, 20 Jul 2008 08:30:51 -0700 (PDT), rickman <gnuarm@gmail.com>
wrote:

>On Jul 20, 10:54 am, Eric Jacobsen <eric.jacob...@ieee.org> wrote:
>> On Sun, 20 Jul 2008 06:14:35 -0700 (PDT), rickman <gnu...@gmail.com>
>> wrote:
>>
>>
>>
>> >I'm not trying to bust anyone's balls.  But this guy has been
>> >cluttering up a number of newsgroups, Yahoo groups and who knows where
>> >else with his frequent postings.  I don't object to his making it
>> >known that there is a new product on the market.  I get tired of
>> >seeing his, sometimes bi-weekly, announcements that a new version is
>> >out there.  If anyone is looking for a timing analyzer then he will
>> >already be easy to find.  If anyone wants to know the current status
>> >of his program he has a web site.
>>
>> >I just think that a lot of people, here and elsewhere, don't so much
>> >"think" about a topic like this, they justify what they "feel".  How
>> >would people "feel" if every vendor came here to advertise, announce
>> >new products, new features or even just to solicit comments and
>> >advice?  I remember awhile back there were some job postings and it
>> >was rather contentious whether that was considered acceptable.  For
>> >the most part people had no logical justification for wanting to allow
>> >that sort of post.  They just tried to rationalize their feelings, "if
>> >you were out of work, you would welcome those posts" sort of
>> >thing.
>>
>> >Well my feelings are that I get tired of seeing advertising in this
>> >group and elsewhere.  I moderate multiple Yahoo groups and have made a
>> >real effort to exclude the spam.  Don't you think these groups would
>> >be better served with less spam?
>>
>> >Rick
>>
>> Spam is going to part of the internet for the foreseeable future, and
>> unmoderated newsgroups are always going to be subject to unwanted
>> intrusions.    I suggest you learn to use killfiles and ignore
>> settings rather than harp on anybody who comes along that might have a
>> product relevant to the group, because that's not going to stop.
>> People can make their own decisions and clearly, as in this case, some
>> people do like getting the information.
>>
>> For this particular case I think he's been exceptional in that he's
>> looking for (and getting, and responding to) feedback to make his
>> product better.   Would that all vendors in relevant fields would do
>> this.
>
>If I understand your post correctly, you are making two points.  The
>first is that since it is impossible to stop all spam, that we should
>not try to stop *any* spam posts, is that correct?  That is, when
>otherwise reputable companies use spam to promote their products, we
>should just shrug our shoulders and consider this part of the Internet
>landscape?

I didn't say that, no.   You've read a lot into my statements that's
not there.  Feel free to re-read. 

>The second point I think you are making is that you have a personal
>feeling that this particular spammer should be allowed because you
>don't find his posts offensive.  Is that correct?

I wouldn't find ANY posts offensive that led to product improvement
for tools useful to the newsgroup.  How would that be off-topic?  Feel
free to re-read the last paragraph in my previous post.

>So just to be clear on this, you don't have a problem with all
>embedded related vendors coming to these newsgroups and posting
>advertising, marketing, update announcements or asking for "opinions"
>on their latest products.  Is that right?
>
>Rick

That's not what I said.   Again, feel free to re-read the post to
which you're responding.   Especially useful to you might be the part
about ignoring or killfiling sources that you personally don't want to
have to deal with.

Usenet is not, and has never been, a utopia of electronic discourse.
The reality is that there will be objectionable and useless posts
regardless of what anyone does.  There also won't always be agreement
on whether certain posts are useful or objectionable, and this case is
a pretty good example of that, evidently.  

There's off topic spam (e.g., clothing sales ads in technical groups),
there are trolls, and there are worthwhile contributions, and there's
everything in between.   I think what you're seeing is that there are
folks who find the case in point to be in the on-topic and worthwhile
category.   Why should that be an issue?


Eric Jacobsen
Minister of Algorithms
Abineau Communications
http://www.ericjacobsen.org

Blog: http://www.dsprelated.com/blogs-1/hf/Eric_Jacobsen.php

Article: 133966
Subject: Re: The littlest CPU
From: Jim Granville <no.spam@designtools.maps.co.nz>
Date: Mon, 21 Jul 2008 09:24:57 +1200
Links: << >>  << T >>  << A >>
rickman wrote:
> On Jul 19, 2:57 am, Antti <Antti.Luk...@googlemail.com> wrote:
<Snip>
>>hi I may have different interests, yes smallest nonserialized CPU
>>as for your current task is one of the wishes, and here also there
>>is no one definitive winner
<snip>
 > I read your thread about the serial processor and it was interesting.
> I think my project actually has the time to use such a processor, but
> I think you never found one that met your requirements.

Related to this 'serial' processor design, (probably should be better
called 'most compact'?), I spotted a reference in a larger CPU released
recently, that mentioned it had Quad-SPI SRAM support - so it looks
like SRAM will soon be added to the already-available Quad-SPI FLASH
memory. (There is a 32K SPI SRAM out, but this is not quad)
  Such a device would also help those 'needing more SRAM' in their
FPGAs...

-jg

Article: 133967
Subject: Re: Change clock domain for FIFO ...
From: kennheinrich@sympatico.ca
Date: Sun, 20 Jul 2008 14:47:10 -0700 (PDT)
Links: << >>  << T >>  << A >>
On Jul 20, 10:06 am, "Kappa" <78kappa78(at)virgilio(dot)it> wrote:
> Hi,
>
> I have to introduce a DVB Trasnport Stream (Clk + Data + Sync) from a tuner
> in a fpga. Fpga has a 27 MHz clock with which takes data from the tuner and
> serializes them to ASI. The serialization works well simulating a Null
> Packet as input.
>
> Now I have to take data from the tuner with unknown clock. I had thought of
> a async FIFO. The clock of the tuner to the left of fifo, to the right my
> clock. Checking the level of fifo and inserting Null packet if necessary.
>
> But how can clocking the fifo directly by the tuner without problems ?
>
> Do you have an example of VHDL code that I could use ?
>
> Thanks.
>
> Kappa.

I'm not sure what your application is, but for DVB-ASI you might also
want to make sure that you do any PCR restamping, if needed, on the
appropriate side of the FIFO. If you blindly dump your data into some
clock-crossing FIFO you'll wind up introducing extra PCR accuracy
jitter.

 - Kenn

Article: 133968
Subject: Re: ANNOUNCE: TimingAnalyzer version beta 0.87
From: rickman <gnuarm@gmail.com>
Date: Sun, 20 Jul 2008 18:42:49 -0700 (PDT)
Links: << >>  << T >>  << A >>
On Jul 20, 1:02 pm, Jerry Avins <j...@ieee.org> wrote:
> John Devereux wrote:
>
>    ...
>
> > But you are not allowed to look at it
>
> > <http://www.timing-diagrams.com/license.html>
>
> > "You may not modify, reverse engineer, decompile, or disassemble the
> > TimingAnalyzer program."
>
> >> and there is no long-term commercial interest.  This puts it in the
> >> same classification as Linux.  He is not selling - he is offering.
>
> > ..."You must buy a license to use TimingAnalyzer Standard
> > Edition(SE)"...
>
> > It appears to be a commercial program, free for personal,
> > non-commercial use only. So I agree with rickman.
>
> Years ago, I bought ($10) a very nice interactive star map program
> called SkyGlobe for DOS. A few years later, I got a free upgrade for
> Windows. I still use it. This stuff is shareware, and I don't think of
> it as commercial. I put TimingAnalyzer in the same category. I hope it
> hets to be as polished.

You paid for something, but it is "not commercial".  If you bought it
for DOS, I would guess that inflation makes it about equivalent to $20
to $30 now.  Jerry, you have a very unique definition of
"commercial".

Rick

Article: 133969
Subject: Re: ANNOUNCE: TimingAnalyzer version beta 0.87
From: rickman <gnuarm@gmail.com>
Date: Sun, 20 Jul 2008 18:47:47 -0700 (PDT)
Links: << >>  << T >>  << A >>
On Jul 20, 10:38 am, timinganalyzer <timinganaly...@gmail.com> wrote:
> On Jul 20, 9:14 am, rickman <gnu...@gmail.com> wrote:
>
>
>
> > On Jul 20, 1:06 am, CBFalconer <cbfalco...@yahoo.com> wrote:
>
> > > As far as I can tell the source is available, and there is no
> > > long-term commercial interest.  This puts it in the same
> > > classification as Linux.  He is not selling - he is offering.
>
> > Did you actually look at his web page?  There is nothing "open" about
> > this program and the only "free" is the limited capability version
> > licensed only for "personal or academic use", not commercial.
>
> >http://www.timing-diagrams.com/license.html
>
> > In particular,
>
> > "You must buy a license to use TimingAnalyzer Standard Edition(SE)"
>
> > and
>
> > "You may not modify, reverse engineer, decompile, or disassemble the
> > TimingAnalyzer program."
>
> > I'm not trying to bust anyone's balls.  But this guy has been
> > cluttering up a number of newsgroups, Yahoo groups and who knows where
> > else with his frequent postings.  I don't object to his making it
> > known that there is a new product on the market.  I get tired of
> > seeing his, sometimes bi-weekly, announcements that a new version is
> > out there.  If anyone is looking for a timing analyzer then he will
> > already be easy to find.  If anyone wants to know the current status
> > of his program he has a web site.
>
> > I just think that a lot of people, here and elsewhere, don't so much
> > "think" about a topic like this, they justify what they "feel".  How
> > would people "feel" if every vendor came here to advertise, announce
> > new products, new features or even just to solicit comments and
> > advice?  I remember awhile back there were some job postings and it
> > was rather contentious whether that was considered acceptable.  For
> > the most part people had no logical justification for wanting to allow
> > that sort of post.  They just tried to rationalize their feelings, "if
> > you were out of work, you would welcome those posts" sort of
> > thing.
>
> > Well my feelings are that I get tired of seeing advertising in this
> > group and elsewhere.  I moderate multiple Yahoo groups and have made a
> > real effort to exclude the spam.  Don't you think these groups would
> > be better served with less spam?
>
> > Rick
>
> Hello All,
>
> I'm sorry to be the cause of this debate.   Originally,  my intentions
> were to find some beta testers to help me test the program and at the
> same time get some visibility to potential customers.  Its hard to
> find experience engineers to help.  I have been getting feedback from
> users of each beta  version which has been helpful.
>
> rickman,   Yes, I have made the announcement on other groups that I
> thought were relative to digital logic timing analysis and drawing
> timings.  I am not just blindly sendiing frequent postings to any
> group that are not related.
>
> But,  I will follow any newsgroup guidelines there are and will not
> clutter them will frequent announcements anymore if that is considered
> spam or not good practice.
>
> Regards,
> Dan

Dan,

Please don't worry about it.  Although I am saying that I think the
posts are not appropriate for these groups (I can't say about the ones
I don't frequent), I'm certainly not saying you are a bad person or
that your product is bad.  I'm really just trying to make a point.
This happened a while back when someone posted an advert about a job
and a long controversy erupted when someone called is spam.

I only posted about it because I have seen your posts frequently in
time and see them in some three or four groups that I read.  But you
have said that you won't continue doing that and I am happy with that
response.  I wish you good luck with your program and when I have more
time I may take another look at it.

At this point I just think that some people are taking absurd stances
and I am trying to pin them down so they can see what they are really
saying.  Or maybe I will see that I am not making sense.  Either way,
this discussion is not really about you any more.  So don't sweat
it!

Rick

Article: 133970
Subject: Re: ANNOUNCE: TimingAnalyzer version beta 0.87
From: Jerry Avins <jya@ieee.org>
Date: Sun, 20 Jul 2008 22:35:44 -0400
Links: << >>  << T >>  << A >>
rickman wrote:

   ...

> You paid for something, but it is "not commercial".  If you bought it
> for DOS, I would guess that inflation makes it about equivalent to $20
> to $30 now.  Jerry, you have a very unique definition of
> "commercial".

I labeled it shareware. I don't think shareware is commercial, but I 
won't dispute anyone who does.

Jerry
-- 
Engineering is the art of making what you want from things you can get.
ŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻ

Article: 133971
Subject: Re: ANNOUNCE: TimingAnalyzer version beta 0.87
From: Jerry Avins <jya@ieee.org>
Date: Sun, 20 Jul 2008 22:37:48 -0400
Links: << >>  << T >>  << A >>
rickman wrote:

   ...

> At this point I just think that some people are taking absurd stances
> and I am trying to pin them down so they can see what they are really
> saying.  Or maybe I will see that I am not making sense.  Either way,
> this discussion is not really about you any more.  So don't sweat
> it!

It's clear all over again why I like your style. :-)

Jerry
-- 
Engineering is the art of making what you want from things you can get.
ŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻŻ

Article: 133972
Subject: Re: No open-drain in V5 to drive an external LED?
From: glen herrmannsfeldt <gah@ugcs.caltech.edu>
Date: Sun, 20 Jul 2008 20:52:53 -0800
Links: << >>  << T >>  << A >>
Gabor wrote:
(snip)
> assign LED_PIN = drive_led ? 0 : 1'bZ;

That looks like a good way to me.

> If Vcco is much lower than 3.3V, i.e. lower than 3.3v - Vf of the LED,
> you may have problems with the LED staying on even if you use open
> drain outputs due to the protection diodes on the FPGA I/O pin.

A blue or white LED should have a large enough Vf.  Otherwise,
a series diode or so should do it.

-- glen


Article: 133973
Subject: Re: Change clock domain for FIFO ...
From: Lorenz Kolb <lorenz.kolb@uni-ulm.de>
Date: Mon, 21 Jul 2008 07:43:40 +0200
Links: << >>  << T >>  << A >>
secureasm@gmail.com wrote:

> 
> Exactly. The clock input can vary from a minimum of 125 Hz to a
> maximum of 11250000 Hz. Some problem could be the first to power up.
> 
> Any idea ?
> 

Well, I'd give it a try and directly connect that clock on the producer 
(write-) side of the FIFO. Only if that does not work (though I think it 
will) You should consider sampling the data yourself using a clock with 
at least 22500000 Hz, but I'd not recommand that, as sampling might 
really bring You some unexpected results.
Nevertheless if you have to: I'd design some kind of "edge detection" 
for the input clock (saving the last value and comparing it to the 
current value) and sampling then whenever you detect an edge.
You might also want to consider sampling only with falling edge 
depending on when the data is stable compared to the clock's edges

> Regards,
> 
> Kappa
> 

Regards,

Lorenz

Article: 133974
Subject: Re: Problem creating the ML403 project using Xilinx tool
From: nareshgbhat@gmail.com
Date: Sun, 20 Jul 2008 22:59:33 -0700 (PDT)
Links: << >>  << T >>  << A >>
Hi,

Finally it is get solved. It was license issue...-:)



Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search