Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 44075

Article: 44075
Subject: Re: burning a design
From: "Steve Casselman" <sc_no_spam@vcc.com>
Date: Tue, 11 Jun 2002 16:48:41 GMT
Links: << >>  << T >>  << A >>
Yes an FPGA can change it's own design.  It should be no problem to do a
partial reconfiguration by hooking into the Jtag on a Virtex. The old xc6200
let you get to the configuration lines from the inside of the device.

Steve

> Hmm, theoretical yes. But Iam doubtfull if this will work out really good.
A
> FPGA can not DIRECTLY change its own design, but another FPGA/uC can
> reprogramm it.




Article: 44076
Subject: Re: synthesis query: Xilinx + Synplify
From: John_H <johnhandwork@mail.com>
Date: Tue, 11 Jun 2002 16:58:41 GMT
Links: << >>  << T >>  << A >>
Bleah.  I go to 13 bits and it's still implementing a tree.  At least my big
comparator I mentioned is still implemented as a carry chain.

(BTW - I forgot to specify the "== 0" for each of the elements in the example
below)

I've had troubles with Synplify messing up my carry chains throughout my coding
experience.  One version to the next things seem to change for the worse.

I hope all my improvements don't get unimproved over time!


John_H wrote:

> I did something creative with a ( val[23:3]<(en?17:9) ) kind of
> quantity.  Try a structure like:
>
> assign out = { addr[  8] & mask[  8]
>              , addr[7:6] & mask[7:6]
>              , addr[5:4] & mask[5:4]
>              , addr[3:2] & mask[3:2]
>              , addr[1:0] & mask[1:0]
>              } < 5'h1;
>
> I'm using the < in your example rather than the == because Synplify
> *used* to not implement the equality.  Maybe it does now.  If I had my
> synthesizer in front of me I'd try it for you.
>
> Rick Filipkiewicz wrote:
> >
> > Rick Filipkiewicz wrote:
> >
> > > Is there any way of re-wrting the following simple counter code so that
> > > Synplify will merge the or'ed incrementer into the 1st LUT of the adder
> > > chain ? Or am I going to have to instantiate everything ?
> > >
> > > always @(posedge clk)
> > >     if (reset)
> > >         ra <= 0;
> > >     else
> > >         ra <= fra;
> > >
> > >     wire [5:0] fra = ra + ((count_en[0] | count_en[1]) ? 1 : 0);
> >
> > In fact I think this is a small example of a bigger thing where Synplify
> > fails to take advantage of the Xilinx architecture to synthesise fast [and
> > predictable] wide logic functions using the carry chains. In the same
> > struggle to grind down some timing paths I had to work on this function:
> >
> > reg [8:0] addr, mask;
> > ....
> >
> > assign out = (addr & mask != 0);
> >
> > It had been o.k. when the 2 vectors were only 8 bits but failed when
> > extended to 9. Doing it 2 bits at a time and using the carry chain to
> > propagate and voila ... *that* part of problem solved even unto 10 bits and
> > probably 12. Only downside was having to instantiate the MUXCYs although
> > Synplify 7.x & ModelSim 5.5+ can handle arrays of instances so it wasn't
> > too bad.


Article: 44077
Subject: Re: where did my MHz go!
From: kayrock66@yahoo.com (Jay)
Date: 11 Jun 2002 10:04:15 -0700
Links: << >>  << T >>  << A >>
Good point about the post -MAP time, I think thats best case timing,
and also, to answer your question "Does my choice of LOCs effect
circuit speed?"  the answer is yes, the placer does its best but if
you tie its hands then it can only do its best.  It gave a really good
hint about higher placement effort because it could see the long
routing delay.  Instead of using LOCs to stop your circuit from being
optimized away, connect your ports to primary I/O's- unassigned, and
see what the tool does for you.

and to answer the other gentlemans question about what to do with high
fanout nets, most modern synthesizers will reduce your fan (when
instructed) out by trying first to duplicate the driving logic, and
secondly by buffering.

Regards

Davis Moore <davism@NOSPAMxilinx.com> wrote in message news:<3D050729.E51EDBBC@NOSPAMxilinx.com>...
> Ken,
> 
> The post-MAP timing report will always report a clock frequency greater
> than the post-PAR timing report. This is because the post-MAP NCD
> does not contain any routing delay information as the design has not yet
> been placed or routed.
> 
> Ken Mac wrote:
> 
> [...SNIP...]
> 
>   Anyway, after mapping, the maximum clock frequency is reported to be
>   138.947MHz.
> 
>   But, after place and route, the max clock freq. is reported to be 91.166MHz.
> 
>   Where could I be losing so much MHz?  Can my choice of LOCs in the UCF
>   affect the max clock freq.?
> 
> [...SNIP...]

Article: 44078
Subject: Re: burning a design
From: Peter Alfke <Peter.Alfke@xilinx.com>
Date: Tue, 11 Jun 2002 10:24:17 -0700
Links: << >>  << T >>  << A >>
Even more fundamentally, all Xilinx FPGAs can instigate their own complete
reconfiguration, by using one of their outputs connected to PROGRAM, and pulling
it down. This triggers reconfiguration, and is a 100% safe operation, even
though it seems to violate a data sheet timing parameter.

Peter Alfke, Xilinx Applications
(back from a one-week seminar tour of Australia.
I was impressed by the enormous interest in Virtex-II and Virtex-IIPro!)
==================================
Steve Casselman wrote:

> Yes an FPGA can change it's own design.  It should be no problem to do a
> partial reconfiguration by hooking into the Jtag on a Virtex. The old xc6200
> let you get to the configuration lines from the inside of the device.
>
> Steve
>
> > Hmm, theoretical yes. But Iam doubtfull if this will work out really good.
> A
> > FPGA can not DIRECTLY change its own design, but another FPGA/uC can
> > reprogramm it.


Article: 44079
Subject: Re: Asynchronous Perhiperal Mode
From: Peter Alfke <Peter.Alfke@xilinx.com>
Date: Tue, 11 Jun 2002 10:27:49 -0700
Links: << >>  << T >>  << A >>
Mauricio, you answered your own question, and described it exactly right.
It has to be this way, otherwise you could not concatenate the lead device
with additional slave serial devices...
Peter Alfke
=====================
Mauricio Lange wrote:

> Hello, this question may be stupid, I think
> What happens with CCLK when in Asynchronous Pheriperal Mode (010)?
> AFAIK, in the other modes CCLK counts until n, where n is the lenght
> of the bitstream. So, in this mode, CCLK should be counting in
> something as bursts?
> To clarify, suppose that I send a byte of data, wait for the RDY/-BUSY
> to go high, send another byte, etc. and between every byte my delay is
> variable, suppose, 2us to 3 or 4us. CCLK should count at the internal
> rate, stop until the FPGA accepts a new byte, count again, etc?
> If that were not the case, what could happen if I wait too much
> without sending data to the FPGA?
> Note: I am using a XC4010XL FPGA
>
> Thank you very much
>
> Mauricio Lange


Article: 44080
Subject: Re: OFFSET constraint for internal clock
From: kayrock66@yahoo.com (Jay)
Date: 11 Jun 2002 10:30:02 -0700
Links: << >>  << T >>  << A >>
Aren't those contraints relative to the clock in the first place? 
With the clock nets you pretty much get what they give you.  Use the
DLL/DCM's to phase lock your clocks to each other.  You might be able
to do something with the BUFGMUXs if you're in a Virtex 2.  Another
topology is mux your you clocks inside the chip, bring them back out
and in to a DLL.  When you switch the mux you'll have to wait for the
DLL to re-synchronize.

Regards.

"Piotr" <foryt@poczta.pl> wrote in message news:<ae23bh$nkv$1@news.lublin.pl>...
> In my design internal clock is sourced from 4-to-1 multiplexer. Inputs of
> the multiplexer are connected to IPADs. Is there any possibility to add
> OFFSET_IN_BEFORE / OFFSET_OUT_AFTER constraint to this internal clock line.
> Thanks in advance,
> Piotr Foryt

Article: 44081
Subject: fpga and ultra highspeed counters
From: "Pat Ford" <pat.ford@nrc.ca>
Date: Tue, 11 Jun 2002 13:38:04 -0400
Links: << >>  << T >>  << A >>
Hi All;
 I have an interesting problem, one group of sensors outputs a signal which
varies with applied pressure (~35KHz). we use that signal to gate a 100MHz
counter, which we read to 24 bits. This system currently uses a PDP11, I was
thinking that a PCI card with a fpga could be set up to do this.
 After mentioning this to other it was decided to see about  bumping up the
input to 200MHz, so we can update faster. How hard will it be to do a 200MHz
gated, 24 bit counter in a FPGA? We need to do at least 16 and likely 24
counters, so is it possible to do more then one counter per fpga?
 I'm looking at Xilinx is that a sensible choice? Any recommendations on the
pci cards?
Thanks
Pat




Article: 44082
Subject: Re: synthesis query: Xilinx + Synplify
From: Rick Filipkiewicz <rick@algor.co.uk>
Date: Tue, 11 Jun 2002 19:28:23 +0100
Links: << >>  << T >>  << A >>


John_H wrote:

> Bleah.  I go to 13 bits and it's still implementing a tree.  At least my big
> comparator I mentioned is still implemented as a carry chain.
>
> (BTW - I forgot to specify the "== 0" for each of the elements in the example
> below)
>
> I've had troubles with Synplify messing up my carry chains throughout my coding
> experience.  One version to the next things seem to change for the worse.
>
> I hope all my improvements don't get unimproved over time!
>
> John_H wrote:
>

Even if you give it a hint from the back of the book:

wire [4:0] temp = {<your vectorised stuff>};

wire [4:0] carry_out;
assign carry_out[0] = temp[0] ? 1'b0 : 1'b1;
...
assign carry_out[4] = temp[4] ? carry_out[3] : 1'b1;

assign out = carry_out[4];

Synplify still doesn't get the plot! What this means is that Synplify is just not
looking for (or trying to optimise to) logic structures it could implement via the
carry chain. Even a simple wide ``and'' or ``or'' doesn't work although as far as I
can see Xilinx allowed a constant `1' or `0' on the the non-carry input of a MUXCY
for just this purpose.

What we need is a directive like ``syn_carry_chain'' or, to make quite sure,

``syn_this_is_a_carry_chain_so_just_do_it_and_dont_ask_questions''.


Article: 44083
Subject: Re: Problems initialising an FPGA - SPARTAN II
From: "Lorenzo Lutti" <lorenzo.lutti@DOHtiscalinet.it>
Date: Tue, 11 Jun 2002 18:35:06 GMT
Links: << >>  << T >>  << A >>
"Benjamin Todd" <Benjamin.Todd@cern.ch> ha scritto nel messaggio
news:ae4ii0$9nt$1@sunnews.cern.ch...

> I have just moved from a -5 Spartan II to the faster -6...

Faster? As far as I know, -5 means 5 ns pin-to-pin delay, -6 means 6 ns
pin-to-pin delay. -5 should be faster.

--
Lorenzo



Article: 44084
Subject: Re: fpga and ultra highspeed counters
From: Peter Alfke <Peter.Alfke@xilinx.com>
Date: Tue, 11 Jun 2002 12:35:15 -0700
Links: << >>  << T >>  << A >>
This is easy. You could even go to 300 or even 400 MHz.

I suppose you use binary counters.
The easiest and fastest counter is - strange as it may sound - a ripple counter,
where the first toggling flip-flop is controlled by the enable.
The drawback is a settling time of < 100 ns after the counter has been stopped,
before you should start the read-out. If that is a problem, use a synchronous
counter at up to 200 MHz, with slightly higher power consumption.

You can pack dozens of these counters into the smallest Spartan-II or Virtex-E
device and hundreds of counters into the larger devices. Each counter takes 24
flip-flops or 24 Logic Cells, which translates into about 300 gates in
FPGA-speak.
To be on the safe side, I would assume "500 gates" worst case.
That gives you more than 60 counters in an XCV100E or the roughly equivalent
Spartan-II device, called XC2S100.

FPGAs make great counters!
Peter Alfke, Xilinx Applications
=======================

Pat Ford wrote:

> Hi All;
>  I have an interesting problem, one group of sensors outputs a signal which
> varies with applied pressure (~35KHz). we use that signal to gate a 100MHz
> counter, which we read to 24 bits. This system currently uses a PDP11, I was
> thinking that a PCI card with a fpga could be set up to do this.
>  After mentioning this to other it was decided to see about  bumping up the
> input to 200MHz, so we can update faster. How hard will it be to do a 200MHz
> gated, 24 bit counter in a FPGA? We need to do at least 16 and likely 24
> counters, so is it possible to do more then one counter per fpga?
>  I'm looking at Xilinx is that a sensible choice? Any recommendations on the
> pci cards?
> Thanks
> Pat


Article: 44085
Subject: Re: Problems initialising an FPGA - SPARTAN II
From: John_H <johnhandwork@mail.com>
Date: Tue, 11 Jun 2002 19:59:38 GMT
Links: << >>  << T >>  << A >>
...which is why we engineers are often confused by the timing values.

-6 is faster.


Lorenzo Lutti wrote:

> "Benjamin Todd" <Benjamin.Todd@cern.ch> ha scritto nel messaggio
> news:ae4ii0$9nt$1@sunnews.cern.ch...
>
> > I have just moved from a -5 Spartan II to the faster -6...
>
> Faster? As far as I know, -5 means 5 ns pin-to-pin delay, -6 means 6 ns
> pin-to-pin delay. -5 should be faster.
>
> --
> Lorenzo


Article: 44086
Subject: Re: synthesis query: Xilinx + Synplify
From: John_H <johnhandwork@mail.com>
Date: Tue, 11 Jun 2002 20:01:43 GMT
Links: << >>  << T >>  << A >>
I like the directive thought...

/* synthesis syn_for_all_that_is_holy_please_oh_please_use_an_FDSE */;


Rick Filipkiewicz wrote:

> What we need is a directive like ``syn_carry_chain'' or, to make quite sure,
>
> ``syn_this_is_a_carry_chain_so_just_do_it_and_dont_ask_questions''.


Article: 44087
Subject: Visual SourceSafe and VHDL files
From: "Jerry Francis" <jerryf@vt.edu>
Date: Tue, 11 Jun 2002 16:25:44 -0400
Links: << >>  << T >>  << A >>
Hi All,

I am trying to use Microsoft Visual Source Safe to store VHDL files and have
the $Log:  $ and $History:  $ keywords expand.  Can someone tell me where
and how I can modify the
settings so that VSS will use "--" for files of type *.vhd.  I read in the
help that I can modify the srcsafe.ini file to do this, but the help does
not describe in what section or how.


Thank you,
Jerry




Article: 44088
Subject: Re: OFFSET constraint for internal clock
From: Utku Ozcan <utku.ozcan@netas.com.tr>
Date: Tue, 11 Jun 2002 23:40:29 +0300
Links: << >>  << T >>  << A >>
Piotr wrote:

> In my design internal clock is sourced from 4-to-1 multiplexer. Inputs of
> the multiplexer are connected to IPADs. Is there any possibility to add
> OFFSET_IN_BEFORE / OFFSET_OUT_AFTER constraint to this internal clock line.
> Thanks in advance,
> Piotr Foryt

You can't use OFFSET for internal clocks. You must use
TIMESPEC, TIMEGRP, TNM commands in UCF to constrain.
For example, you have FF which drives your signal "foo".
This signal is clocked by an internal clock.
This signal is connected to PAD "foo_pad". In this application,
the constraint would be:

TIMESPEC TS_FOOPAD=FROM:FFS("foo"):TO:PADS("foo_pad"):TS_CLK / 2;

... where TS_CLK is the TIMESPEC you implemented for your internal clock.
This gives a rough OFFSET constraint at half period of internal clock.

Utku



Article: 44089
Subject: IBIS to Spice Translation (part2)
From: nospam@needed.com (Paul)
Date: Tue, 11 Jun 2002 17:12:03 -0400
Links: << >>  << T >>  << A >>
This figure is to accompany the text description in my previous post.

It is a PostScript picture of the Intusoft subcircuit representation
of the IBIS driver. The picture should be viewable in GhostScript or 
distillable with Acrobat Distiller. Keep everything from
"%!PS-Adobe-3.0" to "%%EOF".

***************** Intusoft IBIS2SPice Subcct Schematic *****************
%!PS-Adobe-3.0
%%BeginResource: procset (Tailor_Mac_Gen) 2.0 7
/TailorDict 200 dict def TailorDict begin/bd{bind def}bind def/ld{load
def}bd/setoverprint where{pop}{/setoverprint{pop}bd}ifelse/setcmykcolor
where{pop}{/setcmykcolor{1 exch sub dup dup 6 -1 roll sub dup 0 lt{pop
0}if 5 1 roll 4 -1 roll sub dup 0 lt{pop 0}if 3 1 roll exch sub dup
0 lt{pop 0}if setrgbcolor}bd}ifelse/TailorGroupBegin{pop}bd
/TailorGroupEnd{}bd/TailorSetUniformStroke{pop}bd/TailorSetSpotColor
{pop pop}bd/setdefaults{0 setgray false setoverprint 1 setlinewidth 0 
setlinecap 0 setlinejoin 10 setmiterlimit[]0 setdash false 
TailorSetUniformStroke M0 setmatrix 1 0 0 1 0 0 M1 astore pop}bd/S0 0
def/M0 matrix def/M1 matrix def/BP {/S0 save def 0 ne{90 rotate 0 6 
index neg translate}if translate 0 eq{pop pop pop pop}{moveto 1 index
0 rlineto 0 exch rlineto neg 0 rlineto closepath clip newpath}ifelse M0
currentmatrix pop setdefaults}bd/EP {S0 restore}bd/BG{TailorGroupBegin}
bd/EG{TailorGroupEnd}bd/BM{gsave TailorGroupBegin}bd/EM{TailorGroupEnd
grestore setdefaults}bd/N{M0 setmatrix 1 0 0 1 0 0 M1 astore pop}bd/M
{M0 setmatrix M1 astore concat}bd/X{M0 setmatrix M1 exch 4 exch put M1
concat}bd/Y{M0 setmatrix M1 exch 5 exch put M1 concat}bd/Z{M0 setmatrix
M1 exch 5 exch put M1 exch 4 exch put M1 concat}bd/G{setgray}bd
/R{setrgbcolor}bd/K{setcmykcolor}bd/S{TailorSetSpotColor}bd/O
{0 ne setoverprint}bd/W/setlinewidth ld/C/setlinecap ld/J/setlinejoin
ld/L/setmiterlimit ld/D/setdash ld/U {0 ne TailorSetUniformStroke}bd
/m/moveto ld/l/lineto ld/c/curveto ld /h/closepath ld/r/stroke ld
/f/fill ld/g/eofill ld/p{clip newpath}bd/q{eoclip newpath}bd end
%%EndResource
%%BeginResource: procset (Tailor_Mac_Text) 2.0 7
TailorDict begin/TailorEncoding[StandardEncoding 0 39 getinterval aload
pop/quotesingle StandardEncoding 40 56 getinterval aload pop/grave
StandardEncoding 97 31 getinterval aload pop 128{/.notdef}repeat]def
/EncodingsDict 0 def/BE{/EncodingsDict exch dict def}bd/DE
{TailorEncoding 256 array copy dup 128 4 -1 roll putinterval 
EncodingsDict 3 1 roll put}bd/FontsDict 0 def/BF{/FontsDict exch dict
def}bd/EF{cvn findfont dup length dict begin{1 index/FID ne{def}{pop 
pop}ifelse}forall dup type/nametype eq{/Encoding exch EncodingsDict 
exch get def}{pop}ifelse dup currentdict end definefont dup setfont 
FontsDict 3 1 roll put}bd/F{FontsDict exch get setfont}bd/s{0.0 0.0 
moveto show}bd/a{0.0 0.0 moveto exch 1000.0 div exch 0.0 exch ashow}bd
/b{0.0 0.0 moveto 3 1 roll 1000.0 div 3 1 roll 1000.0 div 3 1 roll 
ashow}bd/v{0.0 0.0 moveto 3 2 roll 1000.0 div 0.0 4 2 roll widthshow}bd
/w{0.0 0.0 moveto 4 2 roll 1000.0 div 4 1 roll 1000.0 div 4 1 roll 
widthshow}bd/z{0.0 0.0 moveto 6 1 roll 1000.0 div 6 1 roll 1000.0 div 6
2 roll 1000.0 div 6 1 roll 1000.0 div 6 1 roll awidthshow}bd end
%%EndResource
%%BeginPageSetup
TailorDict begin 576 694 0 0 0 0 0 0 BP 1 BE 1 BF
%%EndPageSetup
0 694 m 576 694 l 576 0 l 0 0 l h p 0 0 0 R -100 694 m
-99 694 l -98 693 l -98 692 l -99 692 l -100 693 l f -100 694 m
-99 694 l -98 693 l -98 692 l -99 692 l -100 693 l f /E0[]DE
/F0/E0(Helvetica)EF 12 0 0 12 54 415 M 110.4167 (S1)a 0 G N
77.5 418.5 m 77.5 409.6635 70.3365 402.5 61.5 402.5 c
52.6635 402.5 45.5 409.6635 45.5 418.5 c
45.5 427.3365 52.6635 434.5 61.5 434.5 c
70.3365 434.5 77.5 427.3365 77.5 418.5 c h r 61.5 479 m 61.5 434 l
r 61.5 403 m 61.5 359 l r 12 0 0 12 277 417 M -3.41667 (XPWRCLAMP)a
N 316.5 430.5 m 350 430.5 l 354.6944 430.5 358.5 426.6944 358.5 422 c
358.5 421 l 358.5 416.3056 354.6944 412.5 350 412.5 c
283 412.5 l 278.3056 412.5 274.5 416.3056 274.5 421 c
274.5 422 l 274.5 426.6944 278.3056 430.5 283 430.5 c
h r 12 0 0 12 97 357 M 27.08333 (V7)a N 120.5 360.5 m
120.5 351.6635 113.3365 344.5 104.5 344.5 c
95.6635 344.5 88.5 351.6635 88.5 360.5 c
88.5 369.3365 95.6635 376.5 104.5 376.5 c
113.3365 376.5 120.5 369.3365 120.5 360.5 c
h r 12 0 0 12 187 358 M 27.08333 (V6)a N 210.5 361.5 m
210.5 352.6635 203.3365 345.5 194.5 345.5 c
185.6635 345.5 178.5 352.6635 178.5 361.5 c
178.5 370.3365 185.6635 377.5 194.5 377.5 c
203.3365 377.5 210.5 370.3365 210.5 361.5 c
h r 315.5 482 m 315.5 429 l r 148 480.5 m 511 480.5 l r 315.5 413 m
315.5 361 l r 61 360.5 m 89 360.5 l r 120 359.5 m 179 359.5 l r
211 360.5 m 316 360.5 l r 12 0 0 12 344 357 M 27.08333 (V5)a N
367.5 360.5 m 367.5 351.6635 360.3365 344.5 351.5 344.5 c
342.6635 344.5 335.5 351.6635 335.5 360.5 c
335.5 369.3365 342.6635 376.5 351.5 376.5 c
360.3365 376.5 367.5 369.3365 367.5 360.5 c
h r 387 360.5 m 405 360.5 l r 409 368 m 410 368 l 410 367 l 405 360 l
404 360 l 404 361 l f 409 369 m 410 369 l 415 355 l 415 354 l
414 354 l 409 368 l f 418 369 m 419 369 l 419 368 l 414 354 l 413 354 l
413 355 l f 418 369 m 419 369 l 424 355 l 424 354 l 423 354 l 418 368 l
f 428 369 m 429 369 l 429 368 l 424 354 l 423 354 l 423 355 l f
438 363 m 439 363 l 439 362 l 434 355 l 433 355 l 433 356 l f 428 369 m
429 369 l 434 355 l 434 354 l 433 354 l 428 368 l f 438 362.5 m
456 362.5 l r 388 335.5 m 408 335.5 l r 408.5 335 m
408.5 338.5898 410.9625 341.5 414 341.5 c r 413 341.5 m
416.0375 341.5 418.5 338.5898 418.5 335 c r 418.5 335 m
418.5 338.5898 420.9625 341.5 424 341.5 c r 423 341.5 m
426.0375 341.5 428.5 338.5898 428.5 335 c r 428.5 335 m
428.5 338.5898 430.9625 341.5 434 341.5 c r 433 341.5 m
436.0375 341.5 438.5 338.5898 438.5 335 c r 438 335.5 m
458 335.5 l r 456 362.5 m 474 362.5 l r 478 370 m 479 370 l 479 369 l
474 362 l 473 362 l 473 363 l f 478 371 m 479 371 l 484 357 l 484 356 l
483 356 l 478 370 l f 487 371 m 488 371 l 488 370 l 483 356 l 482 356 l
482 357 l f 487 371 m 488 371 l 493 357 l 493 356 l 492 356 l 487 370 l
f 497 371 m 498 371 l 498 370 l 493 356 l 492 356 l 492 357 l f
507 365 m 508 365 l 508 364 l 503 357 l 502 357 l 502 358 l f 497 371 m
498 371 l 503 357 l 503 356 l 502 356 l 497 370 l f 507 364.5 m
525 364.5 l r 524.5 334 m 524.5 303 l r 513 303.5 m 536 303.5 l r
524.5 297 m 524.5 266 l r 513 296.5 m 536 296.5 l r 387.5 335 m
387.5 304 l r 376 304.5 m 399 304.5 l r 387.5 298 m 387.5 267 l r
376 297.5 m 399 297.5 l r 387.5 361 m 387.5 335 l r 457.5 363 m
457.5 335 l r 524.5 365 m 524.5 334 l r 524 364.5 m 540 364.5 l r
12 0 0 12 277 296 M 10.41667 (XGNDCLAMP)a N 316.5 309.5 m
350 309.5 l 354.6944 309.5 358.5 305.6944 358.5 301 c 358.5 300 l
358.5 295.3056 354.6944 291.5 350 291.5 c 283 291.5 l
278.3056 291.5 274.5 295.3056 274.5 300 c 274.5 301 l
274.5 305.6944 278.3056 309.5 283 309.5 c h r 315.5 361 m 315.5 308 l r
315.5 292 m 315.5 241 l r 238.5 457 m 238.5 439 l r 238 440 m 239 440 l
246 435 l 246 434 l 245 434 l 238 439 l f 246 435 m 247 435 l 247 434 l
233 429 l 232 429 l 232 430 l f 232 431 m 233 431 l 247 426 l 247 425 l
246 425 l 232 430 l f 246 426 m 247 426 l 247 425 l 233 420 l 232 420 l
232 421 l f 232 421 m 233 421 l 247 416 l 247 415 l 246 415 l 232 420 l
f 233 411 m 234 411 l 241 406 l 241 405 l 240 405 l 233 410 l f
246 416 m 247 416 l 247 415 l 233 410 l 232 410 l 232 411 l f
240.5 406 m 240.5 388 l r 238.5 480 m 238.5 455 l r 240.5 388 m
240.5 361 l r 240.5 337 m 240.5 319 l r 240 320 m 241 320 l 248 315 l
248 314 l 247 314 l 240 319 l f 248 315 m 249 315 l 249 314 l 235 309 l
234 309 l 234 310 l f 234 311 m 235 311 l 249 306 l 249 305 l 248 305 l
234 310 l f 248 306 m 249 306 l 249 305 l 235 300 l 234 300 l 234 301 l
f 234 301 m 235 301 l 249 296 l 249 295 l 248 295 l 234 300 l f
235 291 m 236 291 l 243 286 l 243 285 l 242 285 l 235 290 l f 248 296 m
249 296 l 249 295 l 235 290 l 234 290 l 234 291 l f 242.5 286 m
242.5 268 l r 240.5 360 m 240.5 335 l r 242.5 268 m 242.5 241 l r
148 240.5 m 315 240.5 l r 12 0 0 12 54 296 M 110.4167 (S2)a N
77.5 299.5 m 77.5 290.6635 70.3365 283.5 61.5 283.5 c
52.6635 283.5 45.5 290.6635 45.5 299.5 c
45.5 308.3365 52.6635 315.5 61.5 315.5 c
70.3365 315.5 77.5 308.3365 77.5 299.5 c h r 61.5 360 m 61.5 315 l r
61.5 284 m 61.5 240 l r 12 0 0 12 54 570 M 27.08333 (B3)a N 77.5 573.5 m
77.5 564.6635 70.3365 557.5 61.5 557.5 c
52.6635 557.5 45.5 564.6635 45.5 573.5 c
45.5 582.3365 52.6635 589.5 61.5 589.5 c
70.3365 589.5 77.5 582.3365 77.5 573.5 c h r 117.5 610 m 117.5 592 l r
117 593 m 118 593 l 125 588 l 125 587 l 124 587 l 117 592 l f 125 588 m
126 588 l 126 587 l 112 582 l 111 582 l 111 583 l f 111 584 m 112 584 l
126 579 l 126 578 l 125 578 l 111 583 l f 125 579 m 126 579 l 126 578 l
112 573 l 111 573 l 111 574 l f 111 574 m 112 574 l 126 569 l 126 568 l
125 568 l 111 573 l f 112 564 m 113 564 l 120 559 l 120 558 l 119 558 l
112 563 l f 125 569 m 126 569 l 126 568 l 112 563 l 111 563 l 111 564 l
f 119.5 559 m 119.5 541 l r 175.5 610 m 175.5 579 l r 164 579.5 m
187 579.5 l r 175.5 573 m 175.5 542 l r 164 572.5 m 187 572.5 l r
61.5 634 m 61.5 589 l r 61 633.5 m 176 633.5 l r 61.5 558 m 61.5 514 l r
61 513.5 m 177 513.5 l r 117.5 634 m 117.5 609 l r 175.5 634 m
175.5 609 l r 119.5 541 m 119.5 513 l r 175.5 543 m 175.5 513 l r
12 0 0 12 54 143 M 27.08333 (B4)a N 77.5 146.5 m
77.5 137.6635 70.3365 130.5 61.5 130.5 c
52.6635 130.5 45.5 137.6635 45.5 146.5 c
45.5 155.3365 52.6635 162.5 61.5 162.5 c
70.3365 162.5 77.5 155.3365 77.5 146.5 c h r 117.5 183 m 117.5 165 l r
117 166 m 118 166 l 125 161 l 125 160 l 124 160 l 117 165 l f 125 161 m
126 161 l 126 160 l 112 155 l 111 155 l 111 156 l f 111 157 m 112 157 l
126 152 l 126 151 l 125 151 l 111 156 l f 125 152 m 126 152 l 126 151 l
112 146 l 111 146 l 111 147 l f 111 147 m 112 147 l 126 142 l 126 141 l
125 141 l 111 146 l f 112 137 m 113 137 l 120 132 l 120 131 l 119 131 l
112 136 l f 125 142 m 126 142 l 126 141 l 112 136 l 111 136 l 111 137 l
f 119.5 132 m 119.5 114 l r 175.5 183 m 175.5 152 l r 164 152.5 m
187 152.5 l r 175.5 146 m 175.5 115 l r 164 145.5 m 187 145.5 l r
61.5 207 m 61.5 162 l r 61 206.5 m 176 206.5 l r 61.5 131 m 61.5 87 l r
61 86.5 m 177 86.5 l r 117.5 207 m 117.5 182 l r 175.5 207 m 175.5 182 l
r 119.5 114 m 119.5 86 l r 175.5 116 m 175.5 86 l r 61.5 241 m
61.5 205 l r 61.5 513 m 61.5 477 l r 315 240.5 m 540 240.5 l r
387.5 267 m 387.5 240 l r 524.5 268 m 524.5 241 l r 316 360.5 m
336 360.5 l r 367 360.5 m 387 360.5 l r 12 0 0 12 218 569 M
18.33333 (XPULLUP)a N 246.5 582.5 m 280 582.5 l
284.6944 582.5 288.5 578.6944 288.5 574 c 288.5 573 l
288.5 568.3056 284.6944 564.5 280 564.5 c 213 564.5 l
208.3056 564.5 204.5 568.3056 204.5 573 c 204.5 574 l
204.5 578.6944 208.3056 582.5 213 582.5 c h r 245.5 634 m 245.5 582 l
r 245.5 565 m 245.5 513 l r 12 0 0 12 212 143 M 10.41667 (XPULLDOWN)a
N 251.5 156.5 m 285 156.5 l
289.6944 156.5 293.5 152.6944 293.5 148 c 293.5 147 l
293.5 142.3056 289.6944 138.5 285 138.5 c 218 138.5 l
213.3056 138.5 209.5 142.3056 209.5 147 c 209.5 148 l
209.5 152.6944 213.3056 156.5 218 156.5 c h r 250.5 208 m 250.5 157 l
r 250.5 139 m 250.5 87 l r 175 86.5 m 510 86.5 l r 175 633.5 m
538 633.5 l r 12 0 0 12 142 416 M (G2)s N 165.5 419.5 m
165.5 410.6635 158.3365 403.5 149.5 403.5 c
140.6635 403.5 133.5 410.6635 133.5 419.5 c
133.5 428.3365 140.6635 435.5 149.5 435.5 c
158.3365 435.5 165.5 428.3365 165.5 419.5 c h r 149.5 480 m 149.5 435 l
r 149.5 404 m 149.5 360 l r 12 0 0 12 142 295 M (G1)s N 165.5 298.5 m
165.5 289.6635 158.3365 282.5 149.5 282.5 c
140.6635 282.5 133.5 289.6635 133.5 298.5 c
133.5 307.3365 140.6635 314.5 149.5 314.5 c
158.3365 314.5 165.5 307.3365 165.5 298.5 c h r 149.5 359 m 149.5 314 l
r 149.5 283 m 149.5 239 l r 248 512.5 m
248 511.1193 246.8807 510 245.5 510 c
244.1193 510 243 511.1193 243 512.5 c
243 513.8807 244.1193 515 245.5 515 c
246.8807 515 248 513.8807 248 512.5 c f 247.5 512.5 m
247.5 511.3954 246.6046 510.5 245.5 510.5 c
244.3954 510.5 243.5 511.3954 243.5 512.5 c
243.5 513.6046 244.3954 514.5 245.5 514.5 c
246.6046 514.5 247.5 513.6046 247.5 512.5 c h r 253 205.5 m
253 204.1193 251.8807 203 250.5 203 c
249.1193 203 248 204.1193 248 205.5 c
248 206.8807 249.1193 208 250.5 208 c
251.8807 208 253 206.8807 253 205.5 c f 252.5 205.5 m
252.5 204.3954 251.6046 203.5 250.5 203.5 c
249.3954 203.5 248.5 204.3954 248.5 205.5 c
248.5 206.6046 249.3954 207.5 250.5 207.5 c
251.6046 207.5 252.5 206.6046 252.5 205.5 c h r 12 0 0 12 180 133 M
55.5 (C2)a 121 Y 20.5 (0.01p)a 128 133 Z -14.08333 (RB4)a 123 120 Z
27.5 (100Meg)a N 541 364.5 m 541 363.1193 539.8807 362 538.5 362 c
537.1193 362 536 363.1193 536 364.5 c
536 365.8807 537.1193 367 538.5 367 c
539.8807 367 541 365.8807 541 364.5 c f 540.5 364.5 m
540.5 363.3954 539.6046 362.5 538.5 362.5 c
537.3954 362.5 536.5 363.3954 536.5 364.5 c
536.5 365.6046 537.3954 366.5 538.5 366.5 c
539.6046 366.5 540.5 365.6046 540.5 364.5 c h r 543 240.5 m
543 239.1193 541.8807 238 540.5 238 c
539.1193 238 538 239.1193 538 240.5 c
538 241.8807 539.1193 243 540.5 243 c
541.8807 243 543 241.8807 543 240.5 c f 542.5 240.5 m
542.5 239.3954 541.6046 238.5 540.5 238.5 c
539.3954 238.5 538.5 239.3954 538.5 240.5 c
538.5 241.6046 539.3954 242.5 540.5 242.5 c
541.6046 242.5 542.5 241.6046 542.5 240.5 c h r 460 362.5 m
460 361.1193 458.8807 360 457.5 360 c
456.1193 360 455 361.1193 455 362.5 c
455 363.8807 456.1193 365 457.5 365 c
458.8807 365 460 363.8807 460 362.5 c f 459.5 362.5 m
459.5 361.3954 458.6046 360.5 457.5 360.5 c
456.3954 360.5 455.5 361.3954 455.5 362.5 c
455.5 363.6046 456.3954 364.5 457.5 364.5 c
458.6046 364.5 459.5 363.6046 459.5 362.5 c h r 390 361.5 m
390 360.1193 388.8807 359 387.5 359 c
386.1193 359 385 360.1193 385 361.5 c
385 362.8807 386.1193 364 387.5 364 c
388.8807 364 390 362.8807 390 361.5 c f 389.5 361.5 m
389.5 360.3954 388.6046 359.5 387.5 359.5 c
386.3954 359.5 385.5 360.3954 385.5 361.5 c
385.5 362.6046 386.3954 363.5 387.5 363.5 c
388.6046 363.5 389.5 362.6046 389.5 361.5 c h r 318 361.5 m
318 360.1193 316.8807 359 315.5 359 c
314.1193 359 313 360.1193 313 361.5 c
313 362.8807 314.1193 364 315.5 364 c
316.8807 364 318 362.8807 318 361.5 c f 317.5 361.5 m
317.5 360.3954 316.6046 359.5 315.5 359.5 c
314.3954 359.5 313.5 360.3954 313.5 361.5 c
313.5 362.6046 314.3954 363.5 315.5 363.5 c
316.6046 363.5 317.5 362.6046 317.5 361.5 c h r 243 361.5 m
243 360.1193 241.8807 359 240.5 359 c
239.1193 359 238 360.1193 238 361.5 c
238 362.8807 239.1193 364 240.5 364 c
241.8807 364 243 362.8807 243 361.5 c f 242.5 361.5 m
242.5 360.3954 241.6046 359.5 240.5 359.5 c
239.3954 359.5 238.5 360.3954 238.5 361.5 c
238.5 362.6046 239.3954 363.5 240.5 363.5 c
241.6046 363.5 242.5 362.6046 242.5 361.5 c h r 152 359.5 m
152 358.1193 150.8807 357 149.5 357 c
148.1193 357 147 358.1193 147 359.5 c
147 360.8807 148.1193 362 149.5 362 c
150.8807 362 152 360.8807 152 359.5 c f 151.5 359.5 m
151.5 358.3954 150.6046 357.5 149.5 357.5 c
148.3954 357.5 147.5 358.3954 147.5 359.5 c
147.5 360.6046 148.3954 361.5 149.5 361.5 c
150.6046 361.5 151.5 360.6046 151.5 359.5 c h r 64 360.5 m
64 359.1193 62.8807 358 61.5 358 c
60.1193 358 59 359.1193 59 360.5 c
59 361.8807 60.1193 363 61.5 363 c
62.8807 363 64 361.8807 64 360.5 c f 63.5 360.5 m
63.5 359.3954 62.6046 358.5 61.5 358.5 c
60.3954 358.5 59.5 359.3954 59.5 360.5 c
59.5 361.6046 60.3954 362.5 61.5 362.5 c
62.6046 362.5 63.5 361.6046 63.5 360.5 c h r 64 513.5 m
64 512.1193 62.8807 511 61.5 511 c
60.1193 511 59 512.1193 59 513.5 c
59 514.8807 60.1193 516 61.5 516 c
62.8807 516 64 514.8807 64 513.5 c f 63.5 513.5 m
63.5 512.3954 62.6046 511.5 61.5 511.5 c
60.3954 511.5 59.5 512.3954 59.5 513.5 c
59.5 514.6046 60.3954 515.5 61.5 515.5 c
62.6046 515.5 63.5 514.6046 63.5 513.5 c h r 64 206.5 m
64 205.1193 62.8807 204 61.5 204 c
60.1193 204 59 205.1193 59 206.5 c
59 207.8807 60.1193 209 61.5 209 c
62.8807 209 64 207.8807 64 206.5 c f 63.5 206.5 m
63.5 205.3954 62.6046 204.5 61.5 204.5 c
60.3954 204.5 59.5 205.3954 59.5 206.5 c
59.5 207.6046 60.3954 208.5 61.5 208.5 c
62.6046 208.5 63.5 207.6046 63.5 206.5 c h r 509.5 241 m 509.5 88 l r
510.5 634 m 510.5 481 l r 541 633.5 m
541 632.1193 539.8807 631 538.5 631 c
537.1193 631 536 632.1193 536 633.5 c
536 634.8807 537.1193 636 538.5 636 c
539.8807 636 541 634.8807 541 633.5 c f 540.5 633.5 m
540.5 632.3954 539.6046 631.5 538.5 631.5 c
537.3954 631.5 536.5 632.3954 536.5 633.5 c
536.5 634.6046 537.3954 635.5 538.5 635.5 c
539.6046 635.5 540.5 634.6046 540.5 633.5 c h r 12 0 0 12 530 224 M
41.1667 (400)a 534 374 Z (4)s N 399 599.5 m 421 599.5 l r 399 574.5 m
421 574.5 l r 421 599.5 m 427.9035 599.5 433.5 593.9035 433.5 587 c r
433.5 587 m 433.5 580.0965 427.9035 574.5 421 574.5 c r 399.5 599 m
399.5 575 l r 398.5 535.5 m 398.5 534.3954 397.6046 533.5 396.5 533.5 c
395.3954 533.5 394.5 534.3954 394.5 535.5 c
394.5 536.6046 395.3954 537.5 396.5 537.5 c
397.6046 537.5 398.5 536.6046 398.5 535.5 c h r 399 556.5 m 421 556.5
l r 399 531.5 m 421 531.5 l r 421 556.5 m
427.9035 556.5 433.5 550.9035 433.5 544 c r 433.5 544 m
433.5 537.0965 427.9035 531.5 421 531.5 c r 399.5 556 m 399.5 532 l r
363 595.5 m 400 595.5 l r 387.5 596 m 387.5 551 l r 387 551.5 m
400 551.5 l r 364 535.5 m 394 535.5 l r 379.5 536 m 379.5 535 l r
378.5 579 m 378.5 535 l r 378 578.5 m 400 578.5 l r 433 587.5 m
452 587.5 l r 455 587.5 m 455 586.1193 453.8807 585 452.5 585 c
451.1193 585 450 586.1193 450 587.5 c
450 588.8807 451.1193 590 452.5 590 c
453.8807 590 455 588.8807 455 587.5 c f 454.5 587.5 m
454.5 586.3954 453.6046 585.5 452.5 585.5 c
451.3954 585.5 450.5 586.3954 450.5 587.5 c
450.5 588.6046 451.3954 589.5 452.5 589.5 c
453.6046 589.5 454.5 588.6046 454.5 587.5 c h r 434 543.5 m 453 543.5 l
r 456 543.5 m 456 542.1193 454.8807 541 453.5 541 c
452.1193 541 451 542.1193 451 543.5 c
451 544.8807 452.1193 546 453.5 546 c
454.8807 546 456 544.8807 456 543.5 c f 455.5 543.5 m
455.5 542.3954 454.6046 541.5 453.5 541.5 c
452.3954 541.5 451.5 542.3954 451.5 543.5 c
451.5 544.6046 452.3954 545.5 453.5 545.5 c
454.6046 545.5 455.5 544.6046 455.5 543.5 c h r 366 595.5 m
366 594.1193 364.8807 593 363.5 593 c
362.1193 593 361 594.1193 361 595.5 c
361 596.8807 362.1193 598 363.5 598 c
364.8807 598 366 596.8807 366 595.5 c f 365.5 595.5 m
365.5 594.3954 364.6046 593.5 363.5 593.5 c
362.3954 593.5 361.5 594.3954 361.5 595.5 c
361.5 596.6046 362.3954 597.5 363.5 597.5 c
364.6046 597.5 365.5 596.6046 365.5 595.5 c h r 366 535.5 m
366 534.1193 364.8807 533 363.5 533 c
362.1193 533 361 534.1193 361 535.5 c
361 536.8807 362.1193 538 363.5 538 c
364.8807 538 366 536.8807 366 535.5 c f 365.5 535.5 m
365.5 534.3954 364.6046 533.5 363.5 533.5 c
362.3954 533.5 361.5 534.3954 361.5 535.5 c
361.5 536.6046 362.3954 537.5 363.5 537.5 c
364.6046 537.5 365.5 536.6046 365.5 535.5 c h r 12 0 0 12 339 602 M
10.83333 (ENABLE)a 353 520 Z 41.1667 (100)a 581 Y 41.1667 (500)a
339 541 Z (INPUT)s 461 584 Z 41.1667 (820)a 463 539 Z 41.1667 (830)a
528 614 Z 41.1667 (300)a 128 555 Z -14.08333 (RB3)a 123 542 Z
27.5 (100Meg)a 180 555 Z 55.5 (C1)a 543 Y 20.5 (0.01p)a 65 542 Z
27.66667 (Switched)a 528 Y (Resistor)s N 48 581.5 m
39.4396 581.5 32.5 593.8122 32.5 609 c r 47 426.5 m
38.4396 426.5 31.5 438.8122 31.5 454 c r 48 307.5 m
39.4396 307.5 32.5 319.8122 32.5 335 c r 12 0 0 12 20 459 M
41.1667 (820)a 21 613 Z 41.1667 (830)a N 22 625.5 m 41 625.5 l r
21 471.5 m 40 471.5 l r 12 0 0 12 21 340 M 41.1667 (830)a N 22 352.5 m
41 352.5 l r 12 0 0 12 21 189 M 41.1667 (820)a N 22 201.5 m 41 201.5 l
r 48 156.5 m 39.4396 156.5 32.5 168.8122 32.5 184 c r
12 0 0 12 35 366 M 41.1667 (220)a 139 365 Z (8)s 244 X (6)s 384 371 Z
(5)s 453 372 Z (1)s 391 283 Z 7.16667 (CCOMP)a 478 282 Z
-7.08333 (COPKG)a 404 321 Z -7.25 (LOPKG)a 399 375 Z 6.91667 (ROSNB)a
472 X -27.91667 (ROPKG)a 33 510 Z 41.1667 (850)a 36 213 Z
41.1667 (840)a 65 114 Z 27.66667 (Switched)a 100 Y (Resistor)s
73 498 Z 18.08333 0 32 1.833333 0 (Logic 1 Ramp Gen)z 75 214 Z
18.08333 0 32 1.833333 0 (Logic 0 Ramp Gen)z 266 123 Z
6 (V=f\(8,840\))a 253 506 Z (3)s 259 202 Z (2)s 254 548 Z
6 (V=f\(850,8\))a 156 440 Z -4 (I=f\(8,3\))a 317 Y -4 (I=f\(2,8\))a
214 417 Z -27.83333 (R2)a 216 298 Z -27.83333 (R1)a 203 398 Z
39.3333 0 32 3.91667 0 (1 ohm)z 204 277 Z
39.3333 0 32 3.91667 0 (1 ohm)z N 184.5 527 m 184.5 497 l r
184 497.5 m 209 497.5 l r 186.5 499 m
186.5 509.7695 192.5442 518.5 200 518.5 c r 186.5 215 m 186.5 185 l r
186 185.5 m 211 185.5 l r 202 186.5 m
194.5442 186.5 188.5 195.2305 188.5 206 c r 12 0 0 12 202 603 M
68.6667 0 32 6.83333 0 (Logic 1)z 589 Y 16.91667 (Driver)a
260 178 Z 68.6667 0 32 6.83333 0 (Logic 0)z 164 Y 16.91667 (Driver)a
[ 3 3 ] 0 D 0.5 G N 241 215 m 167 279 l r 433 129 m 433 129 l r
237 507 m 161 457 l r 0 G 12 0 0 12 325 463 M (Input )s 449 Y
73.75 0 32 7.33333 0 (Diode )z 435 Y 27.91667 (Clamp)a 274 344 Z
(Input )s 330 Y 73.75 0 32 7.33333 0 (Diode )z 316 Y
27.91667 (Clamp)a 180 650 Z 14.16667 0 32 1.416667 0 
(Intusoft IBIS2SPICE I/O Driver Subcct)z EM EP end showpage
%%PageTrailer
%%Trailer
%%EOF
************* end Intusoft IBIS2SPice Subcct Schematic *****************

Article: 44090
Subject: Multi Pass PAR
From: "Jim Raynor" <chris_cheung66@hotmail.com>
Date: Tue, 11 Jun 2002 21:25:05 GMT
Links: << >>  << T >>  << A >>
hi,

    When I use the Xilinx Foundation Multi-pass PAR, it always search for
the non-existing directory mppr_result.dir/*.*_0_0_0 and it says Error:
Unable to open directory...blah blah blah....

    Does anyone know how to fix that problem?

    Thanks




Article: 44091
Subject: Re: Problems initialising an FPGA - SPARTAN II
From: Peter Alfke <Peter.Alfke@xilinx.com>
Date: Tue, 11 Jun 2002 14:33:40 -0700
Links: << >>  << T >>  << A >>
John is right.
On all the modern (Virtex and Spartan class) devices, the larger speed-file
number describes the faster part. And the number has no physical meaning. We
gave up on that...

In the distant past, the speed-file number reflected the LUT-delay in
nanoseconds, but that scheme collapsed as the delays fell below 1 ns. We then
realized that a numbering scheme should not drive us towards zero as speed
increases.
Now we have room for progress into the picoseconds...
Peter Alfke
=========================
John_H wrote:

> ...which is why we engineers are often confused by the timing values.
>
> -6 is faster.
>
> Lorenzo Lutti wrote:
>
> > "Benjamin Todd" <Benjamin.Todd@cern.ch> ha scritto nel messaggio
> > news:ae4ii0$9nt$1@sunnews.cern.ch...
> >
> > > I have just moved from a -5 Spartan II to the faster -6...
> >
> > Faster? As far as I know, -5 means 5 ns pin-to-pin delay, -6 means 6 ns
> > pin-to-pin delay. -5 should be faster.
> >
> > --
> > Lorenzo


Article: 44092
Subject: Re: fpga and ultra highspeed counters
From: Jim Granville <jim.granville@designtools.co.nz>
Date: Wed, 12 Jun 2002 09:34:46 +1200
Links: << >>  << T >>  << A >>
Peter Alfke wrote:
> 
> This is easy. You could even go to 300 or even 400 MHz.
> 
> I suppose you use binary counters.
> The easiest and fastest counter is - strange as it may sound - a ripple counter,
> where the first toggling flip-flop is controlled by the enable.
> The drawback is a settling time of < 100 ns after the counter has been stopped,
> before you should start the read-out. If that is a problem, use a synchronous
> counter at up to 200 MHz, with slightly higher power consumption.

In most Freq Counter apps, this is no problem. The simplest use
alternate 
GATE and READ phases, with a cycle available for a read ( 35KHz in this
case )

This gives one result per two cycles in.

Next up is to alternate POS-POS then NEG-NEG times, which gives 2
results
every 2.5 cycles. Slightly more logic, for a good rate gain, plus you
get some edge effect averaging.

 By having effectively two counters, and more complex logic again, 
you could apply a fixed 'ripple delay', during which time the ripple 
counters are allowed to settle, then you read ( clear optional ), and
restart. eg apply a small say 24 cycle counter ( 5 bits ), 
and you can Short-Pause-For-Read, then restart, and have 
no other signals, so ripple counters can fly. 
 Probably a twisted ring / johnson ctr is best for the RDelay Ctr.

 Simple maths in the reader end gives dT = (ThisReading - LastReading) +
RDelay

Questions for Peter:
 What is the MAX toggle rate, for a ripple counter, in FPGAs ? ( GHz yet
?)
 
 Is there a simple ceiling number for the Rdelay counter. eg for like
registers,
and a 24 stage ripple counter, a Rdelay of 24 gives each stage one whole
clk time
for Ck -> next edge, likely conservative. 
 How about 12, for a half clock time for Ck-> next edge ?
 
( I realise registers are close to free in FPGA, but there may be speed 
gains in not over-doing the RDelay size )

-jg

> 
> You can pack dozens of these counters into the smallest Spartan-II or Virtex-E
> device and hundreds of counters into the larger devices. Each counter takes 24
> flip-flops or 24 Logic Cells, which translates into about 300 gates in
> FPGA-speak.
> To be on the safe side, I would assume "500 gates" worst case.
> That gives you more than 60 counters in an XCV100E or the roughly equivalent
> Spartan-II device, called XC2S100.

Article: 44093
Subject: MAP problem with RLOC'ed macros
From: Rick Filipkiewicz <rick@algor.co.uk>
Date: Tue, 11 Jun 2002 23:12:22 +0100
Links: << >>  << T >>  << A >>
I've contructed some logic using the Xilinx carry chain [see synthesis
query: Xilinx + Synplify] and used Synplify's xc_map, xc_uset, xc_rloc
to contruct an RPM that includes this and a few other LUTs.

In one design it worked fine but in another (its part of an SDRAM
controller) MAP bombs out with an error message saying it can't place
the F-LUT because of a conflict on the F input pins! As far as I can see
the only possible sources of conflict are:

(a) a MULT_AND having been mapped to the slice concerned.

(b) The G-LUT of the chain having been mapped to where the F-LUT should
go.

I can't find any reference to this in the answers database but there's
one vaguely related that's to do with MULT_ANDs.

If the problem is caused by (b) is there any way for Virtex to specifiy
that an LUT goes into a specific one of the 2 positions in a slice ? You
could do this for the 4K series but the obvious try of applying the .<F
| G> extension to the slice ident doesn't work.



Article: 44094
Subject: Re: IBIS to Spice Translation (part1)
From: Austin Lesea <austin.lesea@xilinx.com>
Date: Tue, 11 Jun 2002 15:36:39 -0700
Links: << >>  << T >>  << A >>
Paul,

Hey this is nifty.  This should allow anyone to download the IBIS models
from any vendor, convert to spcie .model statements, and then simulate it in
spice.

Sounds like you did this for 3.3V driver model.

Do the free versions of spice support the simple transmission line model?

Austin



Article: 44095
Subject: Re: fpga and ultra highspeed counters
From: John_H <johnhandwork@mail.com>
Date: Tue, 11 Jun 2002 22:53:19 GMT
Links: << >>  << T >>  << A >>
Another idea for lots of capability in small space depending on the detail of your
needs.  If you want your read value accurate to a few nanoseconds *and* you need
access to multiple values with a few 10s of nanoseconds, this approach wouldn't
work.

Use a Virtex-II XC2V40 device.

Bring the gate in on the DDR input registers.

For N counters, use N lower count stages of only a few bits to add zero, one, or two
bits depending on the DDR gate.

Cycle through a dual-port CLB SelectRAM (or BlockRAMs for that matter), replacing
the LSbits with the live lower stage value and incrementing the upper bits by one as
appropriate.  This function can be done at a slower speed than the counter.

Read the count value directly from the dual-port RAM.

If you need a read strobe to catch a live count value rather than one that's a few
cycles off due to the cycling, you can add a little logic to preempt the normal
cycling and process the live value.

The resolution and capabilities you want could probably support up to 64 counters of
32 bits each at nearly 800MHz (based on DDR capabilities but possibly limited by
8-bit 0/+1/+2 adders) in a part you can get for a decent price.

A fun little project.


Article: 44096
Subject: LVPECL open-emitter interface to Virtex-II
From: "Huy Nguyen" <hnguyen@ll.mit.edu>
Date: Tue, 11 Jun 2002 14:56:36 -0800
Links: << >>  << T >>  << A >>
Hello, 

I am trying to interface a Virtex-II chip to a standard LVPECL device with open-emitter output driver.  This type of circuit requires a sink current for emitters, which is not provided by the differential termination scheme in Xilinx AppNote133. 

Is this differential termination scheme applicable for Xilinx's pseudo LVPECL drivers only, or also for standard LVPECL as well ?

Thank you.

Huy

Article: 44097
Subject: Re: 20,000 gates?
From: "Roger King" <roger@king.com>
Date: Tue, 11 Jun 2002 23:09:02 GMT
Links: << >>  << T >>  << A >>
Ok, let me give you a better statistic, 384 CLBs? 384 sounds like a really
low number, it looks like I can only develop extremely simple projects.



"Roger King" <roger@king.com> wrote in message
news:CUmN8.248826$ah_.140060@news01.bloor.is.net.cable.rogers.com...
> Is 20,000 gates enough for creating a nice project? What are some projects
> one can create by using 20,000 gates? I am trying to decide if 20,000
gates
> fpga board would be sufficient for a hobbyist that wants to use it for
about
> 2 years.
>
> I have another question. How many megs of RAM will I be able to develop
> using 20,000 gates fpga? I mean if I want to use the fpga as a ram.
>
>
>
>



Article: 44098
Subject: Re: fpga and ultra highspeed counters
From: Peter Alfke <Peter.Alfke@xilinx.com>
Date: Tue, 11 Jun 2002 16:10:54 -0700
Links: << >>  << T >>  << A >>


Jim Granville wrote:

> Questions for Peter:
>  What is the MAX toggle rate, for a ripple counter, in FPGAs ? ( GHz yet?

Barely.
The flip-flops in Virtex-II are very fast, but the feedback from Q to D is very
general, and thus relatively slow, so the loop has a delay of just around one ns,
which means 1 GHz is barely possible. ( I had 420 MHz in XC4000XL 4 years ago!).
There is a one-bit prescaler in each DCM which is faster, but has no logic around it.

Hi, Jim. I was in Sydney and Melbourne, just around the corner. Thought of you...

Peter



Article: 44099
Subject: Re: 20,000 gates?
From: Peter Alfke <Peter.Alfke@xilinx.com>
Date: Tue, 11 Jun 2002 16:27:45 -0700
Links: << >>  << T >>  << A >>
Watch out for CLB-count.
One CLB had 2 LUTs/flip-flops in XC4000,
then four of them in Virtex,
and now eight LUTs/flip/flops in Virtex-II.
There are architectural reasons for these changes, but they make CLB count
meaningless, unless you specify the family.
Peter Alfke

Roger King wrote:

> Ok, let me give you a better statistic, 384 CLBs? 384 sounds like a really
> low number, it looks like I can only develop extremely simple projects.
>
> "Roger King" <roger@king.com> wrote in message
> news:CUmN8.248826$ah_.140060@news01.bloor.is.net.cable.rogers.com...
> > Is 20,000 gates enough for creating a nice project? What are some projects
> > one can create by using 20,000 gates? I am trying to decide if 20,000
> gates
> > fpga board would be sufficient for a hobbyist that wants to use it for
> about
> > 2 years.
> >
> > I have another question. How many megs of RAM will I be able to develop
> > using 20,000 gates fpga? I mean if I want to use the fpga as a ram.
> >
> >
> >
> >




Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search