Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 92800

Article: 92800
Subject: VERIFICATION AND TESTING
From: "Abbs" <abrar_ahmed_313@yahoo.co.in>
Date: 7 Dec 2005 02:12:29 -0800
Links: << >>  << T >>  << A >>
 Hi.


This group is of real help to people that really need help and
assistance during the course of  designing and programning. Well, all
these while i was into desiging and development. now i have been
shifted to VERIFICATION and TESTING in VHDL. i have done verification
earlier in TESTBENCHES, wrote a code and given input vectors and
verified the output vectors. Is this basically how testing is done, or
lots more into it. I'am just aware of this way of testing of the VHDL
code in TESTBENCHES.
If there are many other ways of testing and validation which i'am
unaware of PLEASE let me  know.


I'am refering a book:

Writing Testbenches: Functional Verification of HDL Models, Second
Edition
by Janick Bergeron.


well its just the begening.
Can i please be informed of sites or pdf doc that will help me gain
more knowledge in this.


Along with this i request to get info, ideas on the importance,
advantages, of testing, diffrent tools used in verification.


One last doubt, in verification, do we even work on STATIC TIME
ANALYSIS and SYNTHESIS.
or this is done by the designer itself..


would be very thankful to get replies soon. 


Cheers 


Bye


Article: 92801
Subject: Re: How to connect 2 FPGA?
From: Rene Tschaggelar <none@none.net>
Date: Wed, 07 Dec 2005 11:24:56 +0100
Links: << >>  << T >>  << A >>
Marco wrote:

> Hallo,
> does anyone has connected 2 FPGA?
> Which kind of connection have used?

A bit less cryptic than Antti :
You can connect them by one or more parallel wires.
You can implement an SPI on both sides,
an 8bit parallel bus with Read/Write, or
something elese.

Rene
-- 
Ing.Buero R.Tschaggelar - http://www.ibrtses.com
& commercial newsgroups - http://www.talkto.net

Article: 92802
Subject: Re: How to connect 2 FPGA?
From: "Marco" <marcotoschi@nospam.it>
Date: Wed, 7 Dec 2005 11:58:41 +0100
Links: << >>  << T >>  << A >>

"Antti Lukats" <antti@openchip.org> wrote in message 
news:dn6b3a$h6c$01$1@news.t-online.com...
> "Marco" <marcotoschi@nospam.it> schrieb im Newsbeitrag 
> news:dn643r$hbo$1@nnrp.ngi.it...
>> Hallo,
>> does anyone has connected 2 FPGA?
>> Which kind of connection have used?
>>
>> Many Thanks
>> Marco
>
> FPGAs are often connected to each other by different means.
>
> your question can have no reasonable answers as you are the only person 
> who know WHY you want to connect the FPGA, and the answer to that question 
> is needed in order to decide HOW. It all depends why and what you are 
> going to achive.
>
> Antti
>

I must develop a system with lots of I/O, about 180-190. My chief don't want 
use BGA (fg320), but pq208...
so I thought to connect 2 fpga pq208.

I think it'is bad... but there are other chances?

Otherwise does exist a BGA adapter for fg320 package to change it into a 
pq320?

Many Thanks
Marco




Article: 92803
Subject: Re: How to connect 2 FPGA?
From: "Antti Lukats" <antti@openchip.org>
Date: Wed, 7 Dec 2005 12:08:08 +0100
Links: << >>  << T >>  << A >>
"Marco" <marcotoschi@nospam.it> schrieb im Newsbeitrag 
news:dn6f94$l68$1@nnrp.ngi.it...
>
> "Antti Lukats" <antti@openchip.org> wrote in message 
> news:dn6b3a$h6c$01$1@news.t-online.com...
>> "Marco" <marcotoschi@nospam.it> schrieb im Newsbeitrag 
>> news:dn643r$hbo$1@nnrp.ngi.it...
>>> Hallo,
>>> does anyone has connected 2 FPGA?
>>> Which kind of connection have used?
>>>
>>> Many Thanks
>>> Marco
>>
>> FPGAs are often connected to each other by different means.
>>
>> your question can have no reasonable answers as you are the only person 
>> who know WHY you want to connect the FPGA, and the answer to that 
>> question is needed in order to decide HOW. It all depends why and what 
>> you are going to achive.
>>
>> Antti
>>
>
> I must develop a system with lots of I/O, about 180-190. My chief don't 
> want use BGA (fg320), but pq208...
> so I thought to connect 2 fpga pq208.
>
> I think it'is bad... but there are other chances?
>
> Otherwise does exist a BGA adapter for fg320 package to change it into a 
> pq320?
>
> Many Thanks
> Marco
>

ah ok that explains it all.

for several reasons the 2 package deal may be better so your chief has hist 
point on this.

if you want to lower cost then the 2 package is probably cheaper both from 
silicon price, board complexity assembly costs, etc..

but it also makes a little additional 'burden' on the design. but its always 
like this, to get the production cost a little lower it is needed to invest 
a little more during design.

if you can live with 185 IOs then Altera 1C6 PQ240 has so many ios 
available! but you need to check the dual purpose pins very carefully. if 
that is not an options take 2 PQ208 chips and connect some amount of io 
lines between then, that should be sufficent, you can decide how to 
implement the interface later. of course you need to partition the design 
properly so you dont need high speed update over the FPGA intercomm

if you are just a few pins short on the PQ208 it may be reasonable to add a 
low cost microcontroller that both configures the FPGA (saves a penny here) 
and works as IO extender later

Antti






















Article: 92804
Subject: Free Seminars - UK
From: "John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk>
Date: Wed, 7 Dec 2005 12:29:42 -0000
Links: << >>  << T >>  << A >>
We are running some free seminars and labs based on MicroBlaze, here in the 
UK, in January and February 2006. Outline details for anyone interested here 
http://www.enterpoint.co.uk/seminar/seminar.html . We may also have a couple 
if extra dates to add to those already listed TBA.

John Adair
Enterpoint Ltd. - Home of MINI-CAN. The CAN Bus Development Board.
http://www.enterpoint.co.uk



Article: 92805
Subject: FPGA development board with digital image camera
From: "hongying meng" <hm512@york.ac.uk>
Date: Wed, 7 Dec 2005 13:47:03 -0000
Links: << >>  << T >>  << A >>
Hi,

I will do some research on video/image processing on FPGA. I will design 
VHDL codes for some video/image processing algorithms. I needs a FPGA 
development board with a big FPGA chip on it. I also hope it can be 
connected with a digital camera or image sensor with real-time image access 
into the board. It's better if the image in RGB format and input to the 
board frame by frame.

Does any one know where there exist this kind of FPGA development board or 
not? If not, any suggestion should be really appreciated.

Thanks
Michael 



Article: 92806
Subject: Stratix EP1S80 DSP development board (Problem for ADC/DAC conversion )
From: "ylc199" <yengleong@yahoo.com>
Date: Wed, 07 Dec 2005 07:48:12 -0600
Links: << >>  << T >>  << A >>
Hi

I have a Stratix EP1S80 DSP development board.  However, i am not able to
get the ADC or the DAC devices on the board to work.  What i am trying to
do is simply send an analog waveform (eg 1 khz sine wave) into the adc and
then try to recover the waveform with the DAC.  Can anyone please kindly
advice on this or even better if anyone have a design example that can
email me?  Thanks

regards
ylc199



Article: 92807
Subject: Re: FPGA development board with digital image camera
From: "Antti Lukats" <antti@openchip.org>
Date: Wed, 7 Dec 2005 14:50:08 +0100
Links: << >>  << T >>  << A >>
"hongying meng" <hm512@york.ac.uk> schrieb im Newsbeitrag 
news:dn6p4m$m8m$1@pump1.york.ac.uk...
> Hi,
>
> I will do some research on video/image processing on FPGA. I will design 
> VHDL codes for some video/image processing algorithms. I needs a FPGA 
> development board with a big FPGA chip on it. I also hope it can be 
> connected with a digital camera or image sensor with real-time image 
> access into the board. It's better if the image in RGB format and input to 
> the board frame by frame.
>
> Does any one know where there exist this kind of FPGA development board or 
> not? If not, any suggestion should be really appreciated.
>
> Thanks
> Michael
>

there are lots of board that can be used but the connectors to the camera 
are pretty much non standard so you might end up getting an camera and then 
making an connector adapter to connect the camera to the FPGA board.

the digital cameras do not usually have direct RGB output but that is no big 
deal the format conversion can be done in the FPGA input stage.

So lookup your camera, check you can get the connector (sometimes they are 
hard to get!) and choose your FPGA board that you like

Antti



Article: 92808
Subject: Re: FPGA development board with digital image camera
From: "Michael" <hm512@york.ac.uk>
Date: Wed, 7 Dec 2005 14:26:49 -0000
Links: << >>  << T >>  << A >>
Thank you very much for your message!

I have got one camera which is BenQ DC1300. It can be connected to PC by USB
port. I think there might be some FPGA boards with USB connection. But I am
not sure whether these board can connected with this DC1300 or not?

By the way, if there are some camera can be connected to an FPGA development
board, I would like to buy both of them.

Thanks

"Antti Lukats" <antti@openchip.org> wrote in message
news:<dn6paf$bd0$00$1@news.t-online.com>...
> "hongying meng" <hm512@york.ac.uk> schrieb im Newsbeitrag
> news:dn6p4m$m8m$1@pump1.york.ac.uk...
> > Hi,
> >
> > I will do some research on video/image processing on FPGA. I will design
> > VHDL codes for some video/image processing algorithms. I needs a FPGA
> > development board with a big FPGA chip on it. I also hope it can be
> > connected with a digital camera or image sensor with real-time image
> > access into the board. It's better if the image in RGB format and input 
> > to
> > the board frame by frame.
> >
> > Does any one know where there exist this kind of FPGA development board 
> > or
> > not? If not, any suggestion should be really appreciated.
> >
> > Thanks
> > Michael
> >
>
> there are lots of board that can be used but the connectors to the camera
> are pretty much non standard so you might end up getting an camera and 
> then
> making an connector adapter to connect the camera to the FPGA board.
>
> the digital cameras do not usually have direct RGB output but that is no 
> big
> deal the format conversion can be done in the FPGA input stage.
>
> So lookup your camera, check you can get the connector (sometimes they are
> hard to get!) and choose your FPGA board that you like
>
> Antti
>
>

"hongying meng" <hm512@york.ac.uk> wrote in message 
news:dn6p4m$m8m$1@pump1.york.ac.uk...
> Hi,
>
> I will do some research on video/image processing on FPGA. I will design 
> VHDL codes for some video/image processing algorithms. I needs a FPGA 
> development board with a big FPGA chip on it. I also hope it can be 
> connected with a digital camera or image sensor with real-time image 
> access into the board. It's better if the image in RGB format and input to 
> the board frame by frame.
>
> Does any one know where there exist this kind of FPGA development board or 
> not? If not, any suggestion should be really appreciated.
>
> Thanks
> Michael
> 



Article: 92809
Subject: VGA controller
From: "damir" <damir.makni@srce.hr>
Date: Wed, 7 Dec 2005 15:37:08 +0100
Links: << >>  << T >>  << A >>
I'm looking for simple VGA (XGA up to 800x600) controller for displaying 
simple images on the LCD pannel - any suggestion for available ASIC (LCD 
controller) or FPGA (VHDL core) design will do. Thanks,

Damir



Article: 92810
Subject: Re: FPGA development board with digital image camera
From: "Gabor" <gabor@alacron.com>
Date: 7 Dec 2005 06:41:49 -0800
Links: << >>  << T >>  << A >>
hongying meng wrote:
> Hi,
>
> I will do some research on video/image processing on FPGA. I will design
> VHDL codes for some video/image processing algorithms. I needs a FPGA
> development board with a big FPGA chip on it. I also hope it can be
> connected with a digital camera or image sensor with real-time image access
> into the board. It's better if the image in RGB format and input to the
> board frame by frame.
>
> Does any one know where there exist this kind of FPGA development board or
> not? If not, any suggestion should be really appreciated.
>
> Thanks
> Michael

Check out Xilinx:

 Virtex-4 Video Starter Kit (HW-V4SX35-VIDEO-SK-US)

This seems to have an RGB image sensor built in.

http://www.xilinx.com/xlnx/xebiz/designResources/ip_product_details.jsp?key=HW-V4SX35-VIDEO-SK-US&sGlobalNavPick=&sSecondaryNavPick=


Article: 92811
Subject: Re: I2C controller chipset to interface with FPGA
From: Martin Thompson <martin.j.thompson@trw.com>
Date: 07 Dec 2005 14:43:43 +0000
Links: << >>  << T >>  << A >>
"Antti Lukats" <antti@openchip.org> writes:

> <svasus@gmail.com> schrieb im Newsbeitrag 
> news:1133949644.536667.201480@z14g2000cwz.googlegroups.com...
> > Hi all,
> >
> > I am needed to talk with a microcontroller through an I2C interface
> > from my FPGA. I dont want to write a code for it as well not use  an
> > opensource core. This is partly due to space constraints and testing.
> > Speed and cost are not constraints.
> > So I was hoping to find a chip which would sandwich between the FPGA
> > and I2C interface.
> > Searched on the net but could not find any. If anyone has suggestions
> > please let me know.
> >
> > Thank you
> >
> > regards
> > vasudev srinivasan
> >
> 
> there is no such thing.
> 

How about these?
http://www.standardics.philips.com/products/pca/i2ccontrollers/

> most likely the overhead to talk to the external I2C controller chip is 
> larger than implementing it in the FPGA
> 

But you may still be right there :-)

Cheers,
Martin

-- 
martin.j.thompson@trw.com 
TRW Conekt - Consultancy in Engineering, Knowledge and Technology
http://www.trw.com/conekt  
   

Article: 92812
Subject: Re: FPGA development board with digital image camera
From: "Antti Lukats" <antti@openchip.org>
Date: Wed, 7 Dec 2005 15:51:31 +0100
Links: << >>  << T >>  << A >>
"Gabor" <gabor@alacron.com> schrieb im Newsbeitrag 
news:1133966508.937738.86720@g43g2000cwa.googlegroups.com...
> hongying meng wrote:
>> Hi,
>>
>> I will do some research on video/image processing on FPGA. I will design
>> VHDL codes for some video/image processing algorithms. I needs a FPGA
>> development board with a big FPGA chip on it. I also hope it can be
>> connected with a digital camera or image sensor with real-time image 
>> access
>> into the board. It's better if the image in RGB format and input to the
>> board frame by frame.
>>
>> Does any one know where there exist this kind of FPGA development board 
>> or
>> not? If not, any suggestion should be really appreciated.
>>
>> Thanks
>> Michael
>
> Check out Xilinx:
>
> Virtex-4 Video Starter Kit (HW-V4SX35-VIDEO-SK-US)
>
> This seems to have an RGB image sensor built in.
>
> http://www.xilinx.com/xlnx/xebiz/designResources/ip_product_details.jsp?key=HW-V4SX35-VIDEO-SK-US&sGlobalNavPick=&sSecondaryNavPick=
>

thats a nice bundle, yes.

the FPGA board is actually a ML402 what costs far less as standalone board

the micron camera that should be bundled is RGB camera, but its interface 
does not deliver RGB as parallel, there is some multiplexing required 
anyway.

funnily the camera chip is not on the video daughter board (or nor clearly 
visible) also there seems to be no suitable connector for the camera and 
there is no other info so I wonder where the image sensor is hidden?

Antti














Article: 92813
Subject: Re: VGA controller
From: "Antti Lukats" <antti@openchip.org>
Date: Wed, 7 Dec 2005 15:54:47 +0100
Links: << >>  << T >>  << A >>
"damir" <damir.makni@srce.hr> schrieb im Newsbeitrag 
news:dn6s3r$j06$1@bagan.srce.hr...
> I'm looking for simple VGA (XGA up to 800x600) controller for displaying 
> simple images on the LCD pannel - any suggestion for available ASIC (LCD 
> controller) or FPGA (VHDL core) design will do. Thanks,
>
> Damir
>
>
there are plenty of them, do your homework.

opencores has something, xilinx reference designs include them, and there 
are other VGA controllers ipcores as well.

and most likely non of them suites your needs as it is really dependant on 
your SoC bus and memory being used.

the VGA is simple (LCD even simpler) if... you have high speed easy to use 
large fast memory for frame buffer. if not then it not so simple any more.

look at ML401 reference design there is VGA core on PLB bus, its for non LCD 
but modification to LCD would be really simple.

Antti 



Article: 92814
Subject: Re: Stratix EP1S80 DSP development board (Problem for ADC/DAC conversion
From: Rene Tschaggelar <none@none.net>
Date: Wed, 07 Dec 2005 15:54:47 +0100
Links: << >>  << T >>  << A >>
ylc199 wrote:
> Hi
> 
> I have a Stratix EP1S80 DSP development board.  However, i am not able to
> get the ADC or the DAC devices on the board to work.  What i am trying to
> do is simply send an analog waveform (eg 1 khz sine wave) into the adc and
> then try to recover the waveform with the DAC.  Can anyone please kindly
> advice on this or even better if anyone have a design example that can
> email me?  Thanks

I remember a colleague having had his problems too.
The local Altera distributor was of a great help
on the phone.

Rene
-- 
Ing.Buero R.Tschaggelar - http://www.ibrtses.com
& commercial newsgroups - http://www.talkto.net

Article: 92815
Subject: Free x86 IP-Core is really working!
From: "Antti Lukats" <antti@openchip.org>
Date: Wed, 7 Dec 2005 16:06:21 +0100
Links: << >>  << T >>  << A >>
seeing is beliving :)

the modelsim precompiled version was offered by HT-LAB for free for some 
time, but that did not trigger my curiosity level - but now as also EDIF 
version are available its really simple and easy to test drive the CPU86 
ipcore in FPGA! it took about 1 hour to get the core todo something in an 
Virtex4, the utilization in FX12 was 51% of the slices.

http://xilant.com/content/view/19/2/

there is ChipScope Pro snapshot of the first succesfull test.

and the CPU86 is from HT-LAB
http://www.ht-lab.com/

Antti

Hans are you here? 



Article: 92816
Subject: Problem programming CoolRunner II xc2c256_tq144 CPLD using IMPACT
From: "nshrestha" <nshrestha@msn.com>
Date: 7 Dec 2005 07:16:35 -0800
Links: << >>  << T >>  << A >>
I was trying to program xc2c256_tq144 (CoolRunner II) CPLD using ISE
Project Navigator SW version 7.1.04i. I used parallel III cable
provided by Digilent to program the CPLD. But I am getting error
"iMPACT 583  - '1': The idcode read from the device does not match the
idcode in the BSDL file". I tried to view BSDL file but I don't have a
clue as to how to modify device IDCODE so device id and expect device
id matches. Does anybody know what's wrong here or know how resolve
this issue? Thanks in advance.

Best regards,
Nabin


Article: 92817
Subject: Re: VGA controller
From: "damir" <damir.makni@srce.hr>
Date: Wed, 7 Dec 2005 16:17:20 +0100
Links: << >>  << T >>  << A >>
I've found reference design from Xess for their Xilinx boards - it's for 
standard VGA, but as you've said - translation for digital flat pannel 
interface shouldn't be a problem.

Damir

"Antti Lukats" <antti@openchip.org> wrote in message 
news:dn6t3l$kos$00$1@news.t-online.com...
> "damir" <damir.makni@srce.hr> schrieb im Newsbeitrag 
> news:dn6s3r$j06$1@bagan.srce.hr...
>> I'm looking for simple VGA (XGA up to 800x600) controller for displaying 
>> simple images on the LCD pannel - any suggestion for available ASIC (LCD 
>> controller) or FPGA (VHDL core) design will do. Thanks,
>>
>> Damir
>>
>>
> there are plenty of them, do your homework.
>
> opencores has something, xilinx reference designs include them, and there 
> are other VGA controllers ipcores as well.
>
> and most likely non of them suites your needs as it is really dependant on 
> your SoC bus and memory being used.
>
> the VGA is simple (LCD even simpler) if... you have high speed easy to use 
> large fast memory for frame buffer. if not then it not so simple any more.
>
> look at ML401 reference design there is VGA core on PLB bus, its for non 
> LCD but modification to LCD would be really simple.
>
> Antti
> 



Article: 92818
Subject: Re: Partial Reconfiguration Problems
From: =?ISO-8859-1?Q?Andreas_K=FChn?=
Date: Wed, 07 Dec 2005 16:28:42 +0100
Links: << >>  << T >>  << A >>
Hello David Kramer!

To me this sounds as some lines of a bus-macro are not used and you want the to 
keep the routing tool quite. Furthermore, I presume you try to assign some 
static values to these lines.
So far not bad. These constants need to be declared inside an instance. In case 
of reconfigurable instances these static signals are to be declared inside 
these instances and provided to the bus-macro via the port list.
On the receiving side a drain in form of a signal is needed even if it isn't 
connected to something processing.

By this all dummy signals are declared inside instances. Giving placement 
constraints to tiny little things is somewhat time wasting and confuse the routing.
Finaly, your design should be build hierarchicaly from larger entities.

Cheers
	akuehn

Article: 92819
Subject: Re: Problem programming CoolRunner II xc2c256_tq144 CPLD using IMPACT
From: Aurelian Lazarut <aurash@xilinx.com>
Date: Wed, 07 Dec 2005 15:42:52 +0000
Links: << >>  << T >>  << A >>
Nabin,
leave the bsdl file alone, try first to reduce the speed of the cable, 
and if still doesn't work post the output of impact here to see how 
different they are (I mean in which way)

Aurash

nshrestha wrote:
> I was trying to program xc2c256_tq144 (CoolRunner II) CPLD using ISE
> Project Navigator SW version 7.1.04i. I used parallel III cable
> provided by Digilent to program the CPLD. But I am getting error
> "iMPACT 583  - '1': The idcode read from the device does not match the
> idcode in the BSDL file". I tried to view BSDL file but I don't have a
> clue as to how to modify device IDCODE so device id and expect device
> id matches. Does anybody know what's wrong here or know how resolve
> this issue? Thanks in advance.
> 
> Best regards,
> Nabin
> 

Article: 92820
Subject: Re: Problem programming CoolRunner II xc2c256_tq144 CPLD using IMPACT
From: "Antti Lukats" <antti@openchip.org>
Date: Wed, 7 Dec 2005 16:45:04 +0100
Links: << >>  << T >>  << A >>
"nshrestha" <nshrestha@msn.com> schrieb im Newsbeitrag 
news:1133968595.585555.327370@g49g2000cwa.googlegroups.com...
>I was trying to program xc2c256_tq144 (CoolRunner II) CPLD using ISE
> Project Navigator SW version 7.1.04i. I used parallel III cable
> provided by Digilent to program the CPLD. But I am getting error
> "iMPACT 583  - '1': The idcode read from the device does not match the
> idcode in the BSDL file". I tried to view BSDL file but I don't have a
> clue as to how to modify device IDCODE so device id and expect device
> id matches. Does anybody know what's wrong here or know how resolve
> this issue? Thanks in advance.
>
> Best regards,
> Nabin
>

its an generic warning that usually doesnt tell you at all whats wrong
there is no need to touch the BSDL file, there is some JTAG problem
with your setup so you need to troubleshoot it

try reading the chain with chipscope does it show correct ID?

Antti 



Article: 92821
Subject: A stupid question about constraints
From: "=?iso-8859-1?B?R2FMYUt0SWtVc5k=?=" <taileb.mehdi@gmail.com>
Date: 7 Dec 2005 07:46:44 -0800
Links: << >>  << T >>  << A >>
I have an FPGA board.
I want to use ONLY one LED on the board.
Is it enough to assign only one pin (the one to which is connected the
desired LED) in the constraints file (ucf).
What about the remaining >600 pins ? in which state will they be ?

If the question is too stupid give me please pointers to documentation
!!!
Mehdi


Article: 92822
Subject: Re: xilinx research labs
From: Steven Derrien <sderrienREMOVE@irisa.fr>
Date: Wed, 07 Dec 2005 16:59:07 +0100
Links: << >>  << T >>  << A >>
Stephen a écrit :
> 
 > Ps we don't have an external web page, yet, but we are evaluating
> options, so please send us suggestions if there's something specific
> you'd like to see.

My 2 cents,

Maybe electronic versions of xilinx publications in academic 
conferences, for those who are not registered at ACM/IEEE digital libraries.

Regards,

Steven

> 
> 

Article: 92823
Subject: Re: FPGA development board with digital image camera
From: Joseph Samson <user@example.net>
Date: Wed, 07 Dec 2005 16:00:50 GMT
Links: << >>  << T >>  << A >>
>>hongying meng wrote:
>>
>>>Hi,
>>>
>>>I will do some research on video/image processing on FPGA. I will design
>>>VHDL codes for some video/image processing algorithms. I needs a FPGA
>>>development board with a big FPGA chip on it. I also hope it can be
>>>connected with a digital camera or image sensor with real-time image 
>>>access
>>>into the board. It's better if the image in RGB format and input to the
>>>board frame by frame.
>>>
>>>Does any one know where there exist this kind of FPGA development board 
>>>or
>>>not? If not, any suggestion should be really appreciated.

Pixel Velocity makes a 'Brilliant Camera' with an AltaSens HDTV color 
sensor, Virtex2Pro XC2VP20, XC2VP30 or XC2VP50, 10/100 or Gigabit 
Etghernet and runs embedded Linux.

http://pixel-velocity.com/intelligentcamera.html


---
Joe Samson
Pixel Velocity

Article: 92824
Subject: Re: A stupid question about constraints
From: "jerzy.gbur@gmail.com" <jerzy.gbur@gmail.com>
Date: 7 Dec 2005 08:19:55 -0800
Links: << >>  << T >>  << A >>
:) Yes it should be enough.
Rest of them should be in highZ

regards

Jerzy Gbur




Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search