Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 94500

Article: 94500
Subject: Re: DSP soft processors
From: Jim Granville <no.spam@designtools.co.nz>
Date: Fri, 13 Jan 2006 10:50:49 +1300
Links: << >>  << T >>  << A >>
Sudhir.Singh@email.com wrote:

> Hi folks,
> are there any DSP soft processor cores for fpgas available. I have done
> a search and only found 32 bit RISCs but no DSP processor cores.
> Thanks in advance
> Sudhir

  I thought the tool flows supported this now, but via the DSP blocks ?
-ie rather than a separate 'core', you compile what you want, into
as many DSP Cells as you need ?
  A Soft-DSP will never be as fast as a dedicated device, the key
in FPGA is to spawn DSP in parallel and in HW.
  Check with Altera, Lattice, Xilinx...
-jg


Article: 94501
Subject: Re: Virtex2 I/O state in configure phase
From: Jerzy Gbur <furia1024@wp.pl>
Date: Thu, 12 Jan 2006 23:24:05 +0100
Links: << >>  << T >>  << A >>
Newman napisał(a):
> Jerzy,
>   You may wish to check out Xilinx answer record 18277 if HSWAP_EN =
> '1'.
>   The title of the Answer Record is :
>   Virtex/Spartan I/O - IO outputs might transition during
> configuration.
> 
> Hope this helps, it was news to me when I heard it.

It's new light on my project.
Mhm... I look at it closer on next design.

Thank you very much..

Jerzy Gbur

Article: 94502
Subject: Re: ISE 8.1i WebPack available
From: Eric Smith <eric@brouhaha.com>
Date: 12 Jan 2006 14:27:40 -0800
Links: << >>  << T >>  << A >>
Thomas Reinemann <Thomas.Reinemann@masch-bau.uni-magdeburg.de> writes:
> AFAIK, the Win32 version of the Webpack contains Modelsim.

No, it's a separate download.

> Does the Linux version contain it too?

The Xilinx edition is only available for Windows.

AFAIK Webpack includes ISE Simulator on both Windows and Linux.

Article: 94503
Subject: Re: CORDIC for digital downconversion
From: "Brady Gaughan" <bgaughan@airnetcom.com>
Date: 12 Jan 2006 14:48:50 -0800
Links: << >>  << T >>  << A >>
Ray, the channel bandwidth is also fairly large, 22MHz, so while there
are only 24 channels, I potentially would need more than 110 FFT bins.
It would not have to be as big as I put above though, since I could
decimate the above by 3 or 5 or 9 as part of the complex downconvert.


Article: 94504
Subject: Re: FPGA Journal Article
From: "Anonymous" <someone@microsoft.com>
Date: Thu, 12 Jan 2006 23:02:06 GMT
Links: << >>  << T >>  << A >>
Don't have the time for an interview but I think you need to revise your
time line. I was etching my own PC boards, hand assembling boards, and
burning my own proms up to the early 90s. The "dark age" was probably in the
90s when everything switched over to surface mount.

I think the renaissance now is hacking WITHOUT a soldering iron, e.g.
hacking tivo or ipod software, building custom mame video machines,
re-flashing boxes like linksys routers, etc.


"Kevin Morris" <kevin@techfocusmedia.com> wrote in message
news:1137096913.255199.239090@o13g2000cwo.googlegroups.com...
> I'm writing a feature article for FPGA Journal (www.fpgajournal.com)
> about FPGAs and the re-birth of the electronics hobbyist.  My theory is
> that electronics as a hobby went through a "dark age" period, maybe
> from the early/mid 1970s until recently becuase of the inaccessibility
> and cost of designing with state-of-the-art technology.  Radio Shack
> shifted their focus from 50-in-1 project kits and hobbyist parts to
> selling toys, cell-phones, and stereo equipment.
>
> Now, with the emergence of low-cost, high-capability FPGAs, development
> boards, and design software, I see a new age of hobbyist activity
> beginning (as often evidenced in this group).
>
> I'm looking for a few people that would be willing to express views on
> this topic for the article.
>
> I know, Austin will probably post a strong technical argument that
> Xilinx FPGAs are uniquely attractive to the hobbyist, somebody from
> Altera will send me a Cubic Cyclonium prototyping paperweight (they're
> very cool), and Actel and Lattice people will post just to remind us
> that they have low-cost kits too, but I'm primarily interested in some
> info from real, live, "working" hobbyists.
>
> Any takers?
>



Article: 94505
Subject: OT: RoHS and Lead?
From: "Martin" <0_0_0_0_@pacbell.net>
Date: Thu, 12 Jan 2006 23:07:35 GMT
Links: << >>  << T >>  << A >>
Excuse the OT post, but I figured people in this group may have an answer 
for this odd question:

In transitioning to RoHS, can you mix Lead-free parts into a standard PbSn 
process?  The idea is to start buying RoHS parts while depleting the 
existing stock of PbSn components.

Good? Bad? Ugly?

Thanks,


~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Martin Euredjian
eCinema Systems, Inc.

To send private email:
x@y
where
x = "martineu"
y = "pacbell.net"




Article: 94506
Subject: Re: FPGA Journal Article
From: Austin Lesea <austin@xilinx.com>
Date: Thu, 12 Jan 2006 15:10:08 -0800
Links: << >>  << T >>  << A >>
Kevin,

Actually, I do have some possible places for you to go look:

University robotics competitions

The DARPA intelligent vehicle crowd (Berkeley's motorcycle used V2 Pro 
for vision, just too bad they used a two wheel vehicle, and it fell over 
and were disqualified!).  The Mars rovers used Virtex' for control, but 
they have six wheels!

Amateur radio software defined radio:  ARRL Magazine has their technical 
rag, http://www.arrl.org/qex/

which has had articles of SDR using both Xilinx and Altera FPGAs.  There 
is even a hobby project SDR that comes with a FPGA.

Good luck,

Austin

Article: 94507
Subject: Re: Xilinx Vertex II Pro with tow VDEC videodevices
From: Paul Hartke <phartke@Stanford.EDU>
Date: Thu, 12 Jan 2006 15:24:08 -0800
Links: << >>  << T >>  << A >>
Hi Ludwig,

Have you considered using two XUPV2Ps each with its own VDEC1 board? 
The VDEC data from one board could be sent to the other over a SATA
cable connected between the boards.  The "Using High Speed Serial MGTs
with the Aurora IP" Quickstart at http://www.xilinx.com/univ/xupv2p.html
is a good example to start with. 

Paul

Ludwig Lenz wrote:
> 
> Hello,
> 
> I want to use a second Digilent VDEC videodevice at one time with another on
> the Vertex II Pro Developmentsystem board. Because this board has only one
> highspeed Digilent Connector (J37), I need to construct an adapter for the
> lowspeed Connector (J5 - J6).
> 
> Does someone know, where I can get an Hirose FX2 connector (in
> germany/europe)? Can I use J5 - J6 with the signal-clock, which is
> necessary for a Digilent VDEC videodevice (54MHz)?
> 
> Thanks,
> Ludwig

Article: 94508
Subject: Re: OT: RoHS and Lead?
From: Austin Lesea <austin@xilinx.com>
Date: Thu, 12 Jan 2006 15:29:28 -0800
Links: << >>  << T >>  << A >>
Martin,

Ugly, I am told.

Because of the temperature differences, there can be some real 
reliability problems (cold joints, or no joints).

My best bet is to tell you to contact the RoHS solder suppliers.  Those 
guys are the ones that have to make a living doing it, and their 
presentations (in my experience) are absolutely the best ones.

For example:

http://www.efdsolder.com/PDF/EFD_-_Lead_Free_Frequently_Asked_Questions.pdf

Austin

Martin wrote:

> Excuse the OT post, but I figured people in this group may have an answer 
> for this odd question:
> 
> In transitioning to RoHS, can you mix Lead-free parts into a standard PbSn 
> process?  The idea is to start buying RoHS parts while depleting the 
> existing stock of PbSn components.
> 
> Good? Bad? Ugly?
> 
> Thanks,
> 
> 
> ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
> Martin Euredjian
> eCinema Systems, Inc.
> 
> To send private email:
> x@y
> where
> x = "martineu"
> y = "pacbell.net"
> 
> 
> 

Article: 94509
Subject: Re: best evm for virtex-4 and linux
From: John Williams <jwilliams@itee.uq.edu.au>
Date: Fri, 13 Jan 2006 09:42:06 +1000
Links: << >>  << T >>  << A >>
Anonymous wrote:
> Thanks. But that brings up another question: Is it better to go with ucLinux
> or use the PPC version of linux? I suspect the latter if I have the FX part,
> right?

Being the maintainer of the MicroBlaze uClinux port, and offering
commercial services for the platform, I'm obviously biased :), however I
think the decision is not as automatic as you suggest.

Unless you are prepared to shell out a reasonable number of dollars to
MontaVista, developing for uClinux with the free tools is a lot easier
than PPC.  There are some guides out there on DIY Linux for Xilinx PPC,
but they aren't for the faint-hearted.

The board port/bringup procedure for uClinux on MicroBlaze is also a lot
faster than PPC Linux, with the free auto-config tools.

It depends on what you are trying to do, and how much you value your time.

Is this a hobbyist, study or commercial project?

Regards,

John



> 
> "Antti Lukats" <antti@openchip.org> wrote in message
> news:dq3cvk$o1n$00$1@news.t-online.com...
> 
>>"Anonymous" <someone@microsoft.com> schrieb im Newsbeitrag
>>news:MM9xf.5246$Kp.178@southeast.rr.com...
>>
>>>Can anyone suggest the best evm board for virtex-4 and linux? How has
>>>people's experience been with it? Are USB drivers included in the linux
> 
> os
> 
>>>builds they provide?
>>>
>>>Thanks,
>>>Clark
>>>
>>
>>I may be mistaken but I think there are no Virtex4 linux ready currently
>>shipping with both device and host support drivers for USB
>>
>>Virtex-4 based modules from
>>http://www.hydraxc.com/
>>
>>eg LX15, LX25 and FX12 based units are currently all shipping, but the
>>included ucLinux reference design does not include USB drivers, this will
> 
> be
> 
>>supplied later on, currently only some standalone USB firmware samples are
>>included.
>>
>>There are possible other boards or modules with partial USB support, but
>>with device and host (OTG) support already included I doubt that you find
>>anything at the moment, you can possible find something with host support
>>only
>>
>>Antti
>>
>>
> 
> 
> 

Article: 94510
Subject: Re: FPGA Journal Article
From: Mike Harrison <mike@whitewing.co.uk>
Date: Fri, 13 Jan 2006 00:23:50 GMT
Links: << >>  << T >>  << A >>
On 12 Jan 2006 12:15:13 -0800, "Kevin Morris" <kevin@techfocusmedia.com> wrote:

>I'm writing a feature article for FPGA Journal (www.fpgajournal.com)
>about FPGAs and the re-birth of the electronics hobbyist.  My theory is
>that electronics as a hobby went through a "dark age" period, maybe
>from the early/mid 1970s until recently becuase of the inaccessibility
>and cost of designing with state-of-the-art technology.  Radio Shack
>shifted their focus from 50-in-1 project kits and hobbyist parts to
>selling toys, cell-phones, and stereo equipment.

I think it was more about the fact that it became less possible to build things for less money than
you could buy them for...
>
>Now, with the emergence of low-cost, high-capability FPGAs, development
>boards, and design software, I see a new age of hobbyist activity
>beginning (as often evidenced in this group).
>
>I'm looking for a few people that would be willing to express views on
>this topic for the article.
>
>I know, Austin will probably post a strong technical argument that
>Xilinx FPGAs are uniquely attractive to the hobbyist, somebody from
>Altera will send me a Cubic Cyclonium prototyping paperweight (they're
>very cool), and Actel and Lattice people will post just to remind us
>that they have low-cost kits too, but I'm primarily interested in some
>info from real, live, "working" hobbyists.
>
>Any takers?

I assume you are aware of www.fpga4fun.com - you should certainly ask for input there. 

A few assorted ramblings, in no particular order....

I think a major development has been the availability of free devtools - for a long, long time PLDs
and later FPGAs were the exclusive territory of the professionals due to the high entry cost of the
software, not to mention the steep learning curve and cost of the computing power needed at the
time. Few hobbyists would have the patience to wait through multi-hour compile times. 

I think the FPGA hobbyist thing has happened more by accident than anything else due to the
availability of cheap devboards and free software, rather than any conscious effort by FPGA
suppliers.
I don't think the FPGA companies have yet really woken up to the needs of the low-volume user
market. Contrast this with companies like Microchip in the MCU arena, who have always had a policy
of supporting the lower volume users, not necessarily hobbyists in particular, but by catering for
low-volumes this happens anyway - easy availability of chips in sensible packages at low volumes
makes a big difference, and many hobbyist/student users go on to be professional users, which  in
the long term has to be good for the business of the companies whose products they first started
playing with . 

I'm a little surprised that we haven't yet seen (well not that I've noticed - apologies if I've
missed you...) any of the many hobbyist oriented suppliers that have appeared in the MCU area in
recent years start looking at making very low cost FPGA boards - for example a PCB with a 40 pin DIL
footprint containing a small FPGA, config device and JTAG connector maybe be quite popular. 
As long as FPGAs are the preserve of distributors like Avnet, low-volume/hobbyist takeup is going to
be limited. Packaging is an obvoius barrier, and I doubt that many FPGA hobbyists venture further
than using ready-made demo boards.
 
On the other hand I also wonder how many hobbyists actually have a need for the speed and power that
an FPGA provides - there are so many fun thnigs that can be done with microcontrollers, how many
hobbyists have the time and inclination to venture into the sort of speeds and complexities that
need FPGAS (and have the test gear to support it).

I would be somewhat skeptical about FPGAs being anything to do with a 'rebirth of the electronics
hobbyist', if such a rebirth is  indeed occurring. Unless maybe you consider a move by some of the
people that were messing with MCUs into FPGAs a shift from a software to a hardware activity, which
is tenuous at best..! OK, a few hobbyists are moving into work that is of much greater complexity
than was possible without FPGAs but I doubt that there are many who have seen FPGAs as a way into
electronics in general.  

From a personal  point of view, although an electronics professional, I also manage to do the
occasional hobby project, and recently ventured into the world FPGAs for a project that would simply
not have been worth the effort doing without the availability of a cheap FPGA devboard and software
to base it on :  www.electricstuff.co.uk/ektapro.html (lower half of page), and I already have plans
for another couple of 'fun' FPGA projects.
 



Article: 94511
Subject: Re: How to create a delay BUF?
From: Mike Harrison <mike@whitewing.co.uk>
Date: Fri, 13 Jan 2006 00:24:27 GMT
Links: << >>  << T >>  << A >>
On Fri, 13 Jan 2006 09:29:24 +1300, Jeremy Stringer <jeremy@_NO_MORE_SPAM_endace.com> wrote:

>backhus wrote:
>> wuyi316904@gmail.com schrieb:
>>> Hi,in my project,i need some bufs to delay some signals,but after
>>> synthesising,the code:#20 does't have any affects.Is there some way to
>>> keep the delay?For example,some constraint for synthesis or other.
>>>
>> Hi,
>> The verilog # operater and the vhdl after statement are not 
>> synthesizable and (as you already observed) therefore ignored during 
>> synthesis.
>> 
>> As mentioned before by cationebox, Flipflops/registres are a proper way 
>> to delay signals by n clock periods (n = number of serialized ffs ).
>
>Probably worth mentioning DCMs/PLLs as well here - these allow you to do 
>fine phase shifting on a clock, which can then be used (assuming clock 
>domain boundaries are crossed correctly) to clock flip-flops with 
>different timings than your original clock.
>
>Jeremy

SRL16s are also good for adding easily selectable delays to signals.

Article: 94512
Subject: Re: FPGA Journal Article
From: ptkwt@aracnet.com (Phil Tomson)
Date: 13 Jan 2006 00:28:31 GMT
Links: << >>  << T >>  << A >>
In article <1137096913.255199.239090@o13g2000cwo.googlegroups.com>,
Kevin Morris <kevin@techfocusmedia.com> wrote:
>I'm writing a feature article for FPGA Journal (www.fpgajournal.com)
>about FPGAs and the re-birth of the electronics hobbyist.  My theory is
>that electronics as a hobby went through a "dark age" period, maybe
>from the early/mid 1970s until recently becuase of the inaccessibility
>and cost of designing with state-of-the-art technology.  Radio Shack
>shifted their focus from 50-in-1 project kits and hobbyist parts to
>selling toys, cell-phones, and stereo equipment.

Well, I would say that the 'dark age' began more in the early to mid 80's when 
everything started going surface mount.  Lots of people experimented with 74XX 
parts back when they were in DIP packages.

>
>Now, with the emergence of low-cost, high-capability FPGAs, development
>boards, and design software, I see a new age of hobbyist activity
>beginning (as often evidenced in this group).
>
>I'm looking for a few people that would be willing to express views on
>this topic for the article.
>
>I know, Austin will probably post a strong technical argument that
>Xilinx FPGAs are uniquely attractive to the hobbyist, somebody from
>Altera will send me a Cubic Cyclonium prototyping paperweight (they're
>very cool), and Actel and Lattice people will post just to remind us
>that they have low-cost kits too, but I'm primarily interested in some
>info from real, live, "working" hobbyists.

it doesn't matter who makes the kits, per se, it's the fact that for $100 now 
you can buy an FPGA starter kit with 300,000 to 400,000 gates or so (and a 
good amount of memory).  I really think the Xilinxs, Alteras, Lattices, etc. don't 
know what they've got.  Perhaps they don't want to be bothered with a 
consumer/hobbyist market, however, I think that a company like Radio Shack 
could really capitalize on this: kind of like a return to the 50-in-1 project 
kits we had as kids, only now it could be 50,000 in one with an FPGA board, 
memory, USB interface, etc.  They could setup a website where people could 
download & share code.  They could sell addons: sensor boards, etc.  Given the 
success of Lego Mindstorms (and there's the new Lego NXT robotics kits coming 
out this summer) it seems to me that there is an opportunity for consumer level 
FPGA kit priced under $200.

Software engineers could be a good market for an FPGA kit aimed at 
helping them to create hardware accelerators for software - maybe a relatively 
small market right now, but it could really grow if hardware acceleration 
became 'easy' (or at least 'easier').

Also, look at the success of Make magazine: it seems to indicate that there's 
potentially a big market of makers, tinkerers, hardware hackers, etc.

>
>Any takers?
>

I think the advent of open source FPGA related design software will also help 
bring in more hobbyists.

Phil


Article: 94513
Subject: Re: Schematic Entry, Xilinx or Altera?
From: John Larkin <jjlarkin@highNOTlandTHIStechnologyPART.com>
Date: Thu, 12 Jan 2006 16:52:07 -0800
Links: << >>  << T >>  << A >>
On Sat, 07 Jan 2006 08:51:49 -0800, "Mike Treseler"
<mike_treseler@comcast.net> wrote:

>John Larkin wrote:
>
>> I don't have time to learn an HDL. I read the Xilinx book, draw
>> schematics (on paper!), and hand them to a minion to enter and
>> compile.
>
>Ah! The academic solution.
>Where have all the minions gone?
>Long time passing ...
>

When will they ever learn,

When will they ever learn?


John


Article: 94514
Subject: Re: FPGA Journal Article
From: "Peter Alfke" <peter@xilinx.com>
Date: 12 Jan 2006 17:10:56 -0800
Links: << >>  << T >>  << A >>
I have struggled for decades to come up with enticing demo projects for
digital circuits, and I have made my rules:
It must be something that cannot be done with just a microprocessor.
That means it must be something fast. Audio, video, radio, robotics
come to mind.
Or, for FPGAs, it must be a platform that allows all sorts of
variations. Like the Swis Army knife of electronics.
Most likely it must be something that appeals to a limited number of
people. That way the toy industry has not yet made it available for $
9.99. (That was the death of some of my keyboard synthesizer projects
in the 'seventies.)
I think a secondary light-triggered (slave) flash unit would be very
useful for all those small digital cameras, but that does not need an
FPGA...  :-(
Peter Alfke


Article: 94515
Subject: Re: How to create a delay BUF?
From: "wuyi316904@gmail.com" <wuyi316904@gmail.com>
Date: 12 Jan 2006 17:25:51 -0800
Links: << >>  << T >>  << A >>
Thanks for all of you,the device what i programme is SPARTAN 2,and i
can't use more clock for delay flip_flop,is there other way for this
problem?


Article: 94516
Subject: Re: Conflicts between ISE4.2 and win2000 SP4
From: "wuyi316904@gmail.com" <wuyi316904@gmail.com>
Date: 12 Jan 2006 17:28:40 -0800
Links: << >>  << T >>  << A >>
Thank for ur suggests,Is there independent Impact for download and what
the Impact version u used?


Article: 94517
Subject: Re: OT: RoHS and Lead?
From: Al Clark <dsp@danvillesignal.com>
Date: Fri, 13 Jan 2006 01:37:17 GMT
Links: << >>  << T >>  << A >>
"Martin" <0_0_0_0_@pacbell.net> wrote in
news:XiBxf.287$or4.4@newssvr12.news.prodigy.com: 

> Excuse the OT post, but I figured people in this group may have an
> answer for this odd question:
> 
> In transitioning to RoHS, can you mix Lead-free parts into a standard
> PbSn process?  The idea is to start buying RoHS parts while depleting
> the existing stock of PbSn components.
> 
> Good? Bad? Ugly?
> 
> Thanks,
> 

You are going to have no real problems soldering leadfree parts with tin-
lead solder. The big exception to this are BGAs. The ball of a BGA is 
solder. If it is leadfree, it will need to be soldered at a higher 
temperature. You CM will need to know this.

Many parts have been supplied leadfree for several years. This includes 
many of the passives that you probably are already using. They might not 
have been labeled as such.

We have started using lead free pcbs as well. In our case we have opted for 
ENIG (electroless nickel/immersion gold). They are easy to solder with PbSn

No one really knows the long term effects of moving to RoHS. I am hoping 
that the EU decides that July is too soon since industry is not really 
ready. Nevertheless, I think it is prudent to plan for it to happen. For 
example, try buying Altera PLDs. They seem to be readily available in lead 
but not available in the lead free versions (of course, Altera claims they 
have both). Part of this situation is caused because everyone 
(distributors, manufacturers, etc) wants to get rid of their non RoHs 
inventory. It's hardest on those of us on the end of the chain, since we 
have the least amount of time to transition.

I think one of the biggest problems will be for products that have 
relatively long lives and small volume. I have already seen parts that were 
discontinued just because the mfr didn't want to change to a lead free 
process. How many designs will have to be completely redone, just to deal 
with a part that has been obsoleted prematurely. Even if you could buy 
enough existing material, you still couldn't ship the existing design 
because there it will never be a RoHS compliant part.

I would bet that this whole RoHS (just the lead part) is going to cost many 
billions of dollars, with very little improvement overall in the 
environment. The amount of lead in a typical pcb is very small compared to 
car batteries, old CRTs, etc.

Sorry for the rant, I'm sure most of you have heard it before (probably 
coming out of your own mouth).



-- 
Al Clark
Danville Signal Processing, Inc.
--------------------------------------------------------------------
Purveyors of Fine DSP Hardware and other Cool Stuff
Available at http://www.danvillesignal.com

Article: 94518
Subject: Re: Conflicts between ISE4.2 and win2000 SP4
From: "Alan Nishioka" <alan@nishioka.com>
Date: 12 Jan 2006 17:53:30 -0800
Links: << >>  << T >>  << A >>
wuyi316904@gmail.com wrote:
> Hi,I have a problem that i can't use ISE4.2 download design in CPLD or
> FPGA with win2000 SP4 system.The iMPACT of ISE4.2 accessories can't
> find the download cable.I think this is the software problem,because
> with ISE6.2 all is OK.What is the solution of confict between ISE4.2
> and win2000 SP4.

If ISE6.2 works, why do you need to use 4.2?
I can understand the need to compile with an earlier version, but why
do you need to download with an earlier version?

Alan Nishioka


Article: 94519
Subject: Re: How to create a delay BUF?
From: Jeremy Stringer <jeremy@_NO_MORE_SPAM_endace.com>
Date: Fri, 13 Jan 2006 15:42:03 +1300
Links: << >>  << T >>  << A >>
wuyi316904@gmail.com wrote:
> Thanks for all of you,the device what i programme is SPARTAN 2,and i
> can't use more clock for delay flip_flop,is there other way for this
> problem?
> 

There are other ways - some nasty, some not too bad.  What are you 
trying to do?

Jeremy

Article: 94520
Subject: Re: best evm for virtex-4 and linux
From: "Anonymous" <someone@microsoft.com>
Date: Fri, 13 Jan 2006 04:17:27 GMT
Links: << >>  << T >>  << A >>
This is a commercial project. I was under the impression Xilinx provides a
working Linux build for the V4? I don't expect to be doing much Linux
hacking per se, maybe just a custom device driver to interface to my DSP
circuit/code.

"John Williams" <jwilliams@itee.uq.edu.au> wrote in message
news:newscache$6670ti$oeg$1@lbox.itee.uq.edu.au...
> Anonymous wrote:
> > Thanks. But that brings up another question: Is it better to go with
ucLinux
> > or use the PPC version of linux? I suspect the latter if I have the FX
part,
> > right?
>
> Being the maintainer of the MicroBlaze uClinux port, and offering
> commercial services for the platform, I'm obviously biased :), however I
> think the decision is not as automatic as you suggest.
>
> Unless you are prepared to shell out a reasonable number of dollars to
> MontaVista, developing for uClinux with the free tools is a lot easier
> than PPC.  There are some guides out there on DIY Linux for Xilinx PPC,
> but they aren't for the faint-hearted.
>
> The board port/bringup procedure for uClinux on MicroBlaze is also a lot
> faster than PPC Linux, with the free auto-config tools.
>
> It depends on what you are trying to do, and how much you value your time.
>
> Is this a hobbyist, study or commercial project?
>
> Regards,
>
> John
>
>
>
> >
> > "Antti Lukats" <antti@openchip.org> wrote in message
> > news:dq3cvk$o1n$00$1@news.t-online.com...
> >
> >>"Anonymous" <someone@microsoft.com> schrieb im Newsbeitrag
> >>news:MM9xf.5246$Kp.178@southeast.rr.com...
> >>
> >>>Can anyone suggest the best evm board for virtex-4 and linux? How has
> >>>people's experience been with it? Are USB drivers included in the linux
> >
> > os
> >
> >>>builds they provide?
> >>>
> >>>Thanks,
> >>>Clark
> >>>
> >>
> >>I may be mistaken but I think there are no Virtex4 linux ready currently
> >>shipping with both device and host support drivers for USB
> >>
> >>Virtex-4 based modules from
> >>http://www.hydraxc.com/
> >>
> >>eg LX15, LX25 and FX12 based units are currently all shipping, but the
> >>included ucLinux reference design does not include USB drivers, this
will
> >
> > be
> >
> >>supplied later on, currently only some standalone USB firmware samples
are
> >>included.
> >>
> >>There are possible other boards or modules with partial USB support, but
> >>with device and host (OTG) support already included I doubt that you
find
> >>anything at the moment, you can possible find something with host
support
> >>only
> >>
> >>Antti
> >>
> >>
> >
> >
> >



Article: 94521
Subject: Re: SDRAM Clock Skew
From: Bob Perlman <bobsrefusebin@hotmail.com>
Date: Thu, 12 Jan 2006 20:20:09 -0800
Links: << >>  << T >>  << A >>
Hi - 

If the system you're designing is a one-off, there's no harm in trying
various things to see what works.  But if you plan to make multiple
copies of this design, there's no substitute for timing analysis.  And
you don't need fancy tools: use Excel if you have it, paper and pencil
if you don't.

Without the timing analysis, it's just guessing.

Bob Perlman
Cambrian Design Works  

On Wed, 11 Jan 2006 07:42:47 -0600, "Pouria" <pouria@hotmail.com>
wrote:

>HI Everybody!
>
>I'm having a timing problem interfacing with my SDRAM bank. I'm using
>256Mb MT48LC16M16 SDRAM from Micron, and want to operate them  at 100 Mhz.
>So far I have only been working at 40 Mhz.
>
>I'm using two DLLs (inside my VirtexII) one for clocking the FPGA and one
>for clocking the SDRAM. The design works if I DON'T use the external
>feedback from SDRAM_Clk to one of the DLL, but it fails as soon as I use
>the feedback (Which according to Xilinx should be the correct way to
>terminate clock Skew). 
>
>The feedback to the other DLL is taken from clock output of it self, and I
>have used IBUG/OBUF/BUFG so that is not the problem.
>
>Hope some one can help me .
>Best regards,
>/P
>
> 
>
>

Article: 94522
Subject: Xilinx 8.i and ML402
From: "Brad Smallridge" <bradsmallridge@dslextreme.com>
Date: Thu, 12 Jan 2006 20:56:51 -0800
Links: << >>  << T >>  << A >>
I just downloaded the 8.i Webpack and it won't
program the ML402 SX35  :( 



Article: 94523
Subject: Re: best evm for virtex-4 and linux
From: "Antti Lukats" <antti@openchip.org>
Date: Fri, 13 Jan 2006 08:52:02 +0100
Links: << >>  << T >>  << A >>
"Anonymous" <someone@microsoft.com> schrieb im Newsbeitrag 
news:rRFxf.9618$Kp.656@southeast.rr.com...
> This is a commercial project. I was under the impression Xilinx provides a
> working Linux build for the V4? I don't expect to be doing much Linux
> hacking per se, maybe just a custom device driver to interface to my DSP
> circuit/code.
>
> "John Williams" <jwilliams@itee.uq.edu.au> wrote in message
> news:newscache$6670ti$oeg$1@lbox.itee.uq.edu.au...
>> Anonymous wrote:
>> > Thanks. But that brings up another question: Is it better to go with
> ucLinux
>> > or use the PPC version of linux? I suspect the latter if I have the FX
> part,
>> > right?
>>
>> Being the maintainer of the MicroBlaze uClinux port, and offering
>> commercial services for the platform, I'm obviously biased :), however I
>> think the decision is not as automatic as you suggest.
>>
>> Unless you are prepared to shell out a reasonable number of dollars to
>> MontaVista, developing for uClinux with the free tools is a lot easier
>> than PPC.  There are some guides out there on DIY Linux for Xilinx PPC,
>> but they aren't for the faint-hearted.
>>
>> The board port/bringup procedure for uClinux on MicroBlaze is also a lot
>> faster than PPC Linux, with the free auto-config tools.
>>
>> It depends on what you are trying to do, and how much you value your 
>> time.
>>
>> Is this a hobbyist, study or commercial project?
>>
>> Regards,
>>
>> John
>>

I stand here with John - the Xilinx PPC linux setup is not for 
faint-hearted.

That is because of Xilinx politics - everybody who is not MontaVista
gets just ignored - DENX was doing lots of work towards PPC linux
support but as Xilinx did not talk to them so Denx dropped any further
work on Xilinx support for PPC linux. Thats too bad.

Setting up a new MicroBlaze uCLinux systems is just a piece of cake
So if you need some DSP code setup uClinux/Microblaze, connect
your DSP functions to FSL links and you are all set

-- 
Antti Lukats
http://www.xilant.com 



Article: 94524
Subject: Re: Xilinx 8.i and ML402
From: "Antti Lukats" <antti@openchip.org>
Date: Fri, 13 Jan 2006 08:54:48 +0100
Links: << >>  << T >>  << A >>
"Brad Smallridge" <bradsmallridge@dslextreme.com> schrieb im Newsbeitrag 
news:11seconiduekg2d@corp.supernews.com...
>I just downloaded the 8.i Webpack and it won't
> program the ML402 SX35  :(
what you mean 'wont program' - Impact doesnt recognize the device?
programming == configuration download

or do you mean that SX35 is not supported device by WebPack?

WebPack is free so you cant complain about the number of devices
that are supported.

Antti 





Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search